Picproje Elektronik Sitesi

PICPROJE PROGRAMLAMA DERSLERİ => FPGA Öğreniyorum => Konuyu başlatan: unknownperson - 24 Aralık 2016, 17:42:26

Başlık: Simulink'te VHDL kod testi
Gönderen: unknownperson - 24 Aralık 2016, 17:42:26
Merhaba, PID controller kodunu Xilinx'da yazdım. 16bitlik giriş ve çıkış tanımladım. Girişten kare dalga 1,0 veriyorum çıkışı alıyorum, ama ben bunu simulinkte görmek istiyorum. Mesela bir block koyayım ve bu bloğa yazdığım vhdl kodu atayım. Giriş ve çıkışı bana çizdirsin. Bunu nasıl yapabilirim ?
Başlık: Ynt: Simulink'te VHDL kod testi
Gönderen: MC_Skywalker - 24 Aralık 2016, 17:47:32
Tina vhdl similasyonu yapıyorda senin isteğini karşılarmı bilmiyorum

http://tr.tina.com
Başlık: Ynt: Simulink'te VHDL kod testi
Gönderen: unknownperson - 24 Aralık 2016, 18:16:18
Alıntı yapılan: MC_Skywalker - 24 Aralık 2016, 17:47:32
Tina vhdl similasyonu yapıyorda senin isteğini karşılarmı bilmiyorum

http://tr.tina.com
Simulink'te olması gerekiyor
Başlık: Ynt: Simulink'te VHDL kod testi
Gönderen: kralsam - 25 Aralık 2016, 02:09:07
Alıntı yapılan: unknownperson - 24 Aralık 2016, 17:42:26
Merhaba, PID controller kodunu Xilinx'da yazdım. 16bitlik giriş ve çıkış tanımladım. Girişten kare dalga 1,0 veriyorum çıkışı alıyorum, ama ben bunu simulinkte görmek istiyorum. Mesela bir block koyayım ve bu bloğa yazdığım vhdl kodu atayım. Giriş ve çıkışı bana çizdirsin. Bunu nasıl yapabilirim ?
Dalga şekli olarak görmek istiyorsan simulink yerine Modelsim kullanmanı öneririm.