Picproje FPGA Eğitimi Hakkında Bilgiler

Başlatan muuzoo, 23 Temmuz 2015, 22:32:09

MrDarK

Alıntı yapılan: Farukc - 24 Temmuz 2015, 22:01:37
Bilet almaya gerek kalmadı.. :D

Bence sen yine de biletini al bilgisayardaki gibi etkili olacağını zannetmiyorum :) Epey sohbet havasında geçiyor başka satın almak isteyen bildirebilirse adını ekleyelim.
Picproje Eğitim Gönüllüleri ~ MrDarK

Recep METE

Arkadaşlar bu  yaptığınız eğitimin videolarını  daha sonra internette yayınlasanız  bizim gibi katılamayanlar için çok iyi olur.
printf(lcd_putc,"\f  Ne kadar okursan oku, bilgine, yakışır şekilde davranmıyorsan cahilsin demektir.  \n   semfero");d

Niyazi_SARAL

Alıntı yapılan: Recep METE - 27 Temmuz 2015, 00:02:41
Arkadaşlar bu  yaptığınız eğitimin videolarını  daha sonra internette yayınlasanız  bizim gibi katılamayanlar için çok iyi olur.

Evet bunu ben de defalarca söyledim. Örnek olarak da bizim http://www.cizgi-tagem.org/?course=gomulu-sistemler-ve-fpga-egitim-videolari adresindeki FPGA videolarını gösterdim. Binlerce kişi seyretti. Çok az bir emek gerekir belki ama sonucu şaşırtıcı olur.

Bu arada kitleri ekonomik olarak temin etmek için elimizden geleni yapacağız.
"Eğitimli insanlar topluma borçludurlar. Bir işin nasıl yapılabileceğini biliyorken bir başkasının yapamadığını görüp susmaları kendilerini yetiştiren o topluma ihanettir."  Bilgi paylaştıkça çoğalır

Niyazi_SARAL

Uzaktan eğitim sistemimizde yayınladığımız Çizgi Tagem'e ait tüm görsel eğitimler, videolar, teknik/teknolojik sunumlar, dokümanlar, simülasyonlar ve farklı formatlardaki tüm içerik ücretsizdir, istenildiği gibi kopyalanabilir, dağıtılabilir veya internet ortamında paylaşılabilir. Bazı eğitimlerimiz esas üreticinin izni ile Türkçe alt yazı sağlayarak düzenlenmiştir. Bu tarz eğitimlerimizin paylaşımı için esas üreticinin iznini almak gerekir.

Teknik olarak soruyorsanız evet örneğin 4kvideodownloader ile tüm youtube videolarını indirirsiniz.
"Eğitimli insanlar topluma borçludurlar. Bir işin nasıl yapılabileceğini biliyorken bir başkasının yapamadığını görüp susmaları kendilerini yetiştiren o topluma ihanettir."  Bilgi paylaştıkça çoğalır

fbkaya

Alıntı yapılan: Niyazi_SARAL - 27 Temmuz 2015, 14:53:36
Uzaktan eğitim sistemimizde yayınladığımız Çizgi Tagem'e ait tüm görsel eğitimler, videolar, teknik/teknolojik sunumlar, dokümanlar, simülasyonlar ve farklı formatlardaki tüm içerik ücretsizdir, istenildiği gibi kopyalanabilir, dağıtılabilir veya internet ortamında paylaşılabilir. Bazı eğitimlerimiz esas üreticinin izni ile Türkçe alt yazı sağlayarak düzenlenmiştir. Bu tarz eğitimlerimizin paylaşımı için esas üreticinin iznini almak gerekir.

Teknik olarak soruyorsanız evet örneğin 4kvideodownloader ile tüm youtube videolarını indirirsiniz.

Ben şunları soruyorum http://transfer.cizgitagem.org/nsaral/tagem_new/fpgaprograming/.
çok hoşuma gittiler. Youtube videoları değiller. Adobe presenter diye açılıyorlar, flash gibiler. İnternete her daim ulaşamıyorum, o yüzden soruyorum hocam.

sovalye

Mesajlar uçmuş. Kirliği azaltmak için temizlik mi yapıldı, yoksa bir sıkıntı mı çıktı (fiyatın yazıldığı mesajda yok olmuş)?

muhendisbey

Soru: VHDL mi Verilog mu anlatacaksınız? Sorularda aradım ancak görememiş olabilirim. Teşekkürler.
Zulmü alkışlayamam, zalimi asla sevemem; Gelenin keyfi için geçmişe kalkıp sövemem.

alicavuslu

#22
Alıntı yapılan: muhendisbey - 01 Ağustos 2015, 20:45:31
Soru: VHDL mi Verilog mu anlatacaksınız? Sorularda aradım ancak görememiş olabilirim. Teşekkürler.

Hocam VHDL dili anlatılacaktır. Genel olarak ilk eğitimde VHDL dilinin tanıtımını yaptıktan sonra benzetim uygulamaları yapmayı planlıyoruz. Amacımız bu eğitimler sonucunda arkadaşların FPGA ile programlamanın neden gerekli olduğunu anlamaları ve belirli temel uygulamalar (led yakma değil) gerçekleştirilecektir. 1. Eğitimde istediğimiz seviyeye ulaşamaz isek eğitimi 3'e çıkarabiliriz. Anlatım ve planlamalarımızı arkadaşlar için eğitiminin verimli geçmesine yönelik yapmaktayız.

muhendisbey

VHDL ile ilgili İngilizce kaynaklar (E book) mevcut elimde dilerseniz paylaşabilirim. Sağlam kaynaklar, yurt dışında para karşılığı satılan kitapların e-kitap hali.
Zulmü alkışlayamam, zalimi asla sevemem; Gelenin keyfi için geçmişe kalkıp sövemem.

alicavuslu

Çok iyi olur Hocam. Teşekkürler. VHDL hakkında bilgi edinmek isteyenler eğitimi beklemeden de faydalanabilirler...

muhendisbey

VHDL ile ilgili kütüphanemdeki kaynaklar. Şifre:www.picproje.org
https://www.dropbox.com/s/lpjnb2knuzz6kfq/VHDL.rar?dl=0

http://www.digilentinc.com/showcase/contests/designcontest.cfm?ContestID=8
Bu linkte FPGA ile yapılmış projeler var. Belki ilginizi çekebilir.
Zulmü alkışlayamam, zalimi asla sevemem; Gelenin keyfi için geçmişe kalkıp sövemem.

Farukc

Neden VHDL?

Verilog ile VHDL arasındaki farklar nelerdir?
Syntax bakımından hangisi pratiktir?


muuzoo

#27
Alıntı yapılan: Farukc - 04 Ağustos 2015, 09:59:50
Neden VHDL?

Verilog ile VHDL arasındaki farklar nelerdir?
Syntax bakımından hangisi pratiktir?



*Neden VHDL sorusu aslında biraz zor bir soru çünkü vereceğim cevaplar biraz şahsi olacak. Önce kısaca farklarını yazayım:
- VHDL ilk olarak Amerkan Savunma Bakanlığı tarafından geliştiriliyor ve 1987 yılında IEEE 1076 olarak standartlaştırılıyor.
- Verilog özel iştirak. İlk olarak "Gatewat Design Automation" firması tarafından geliştiriliyor. Daha sonra Cadence bu firmayı satın alınca 1990 yılında genel kullanıma açıyor. 1995'te IEEE 1364 olarak standartlaştırılıyor.

- VHDL standartlaştıktan sonra çeşitli güncellemeler aldı. VHDL-87, VHDL-93, VHDL-200X (sanırım sonradan 2008 olarak güncellendi).
- Verilog da aynı şekilde Verilog-95, Verilog-2001 ve Verilog-2005 şeklinde güncellendi.

- VHDL yazım şekli ve tarz olarak ADA diline yakın.
- Verilog ise daha çok C diline benzer şekilde bir yazıma sahip.

- VHDL "strongly typed" bir dildir. Data tiplerine daha fazla dikkat edilmesi gerekmektedir.
- Verilog "weakly typed" bir dildir.

- VHDL'de hata yakalamak daha kolaydır (şahsi görüş), ama bazen de kod yazarken saç baş yoldurur, görece basit atamalar için fazladan kod yazmak gerekir. O yüzden VHDL kodları Verilog kodlarına göre daha kalabalıktır.
- Verilog ise yazım açısından daha rahattır ama yazan kişinin daha dikkatli olması gerekir. VHDL "hop burda hata var bu atamayı yapamazsın der, Verilog ise ,yazanın bir bildiği var der yapar :)

Ben şahsen ikisinde de kod yazmış biri olarak VHDL kullanmayı tercih ediyorum. Daha katı olduğu için kod yazarken yaptığım hatayı bulması daha kolay oluyor. Dediğim gibi şahsi bir tercih. Bir başkası kendini Verilog üzerinde daha rahat hissedebilir. Geliştirme araçları zaten ikisini de destekliyor.

Özellikle şu pdf dosyası daha bilgilendirici olacaktır : http://www.ue.pwr.wroc.pl/pld/pld_12.pdf

Örnek VHDL:
process (clock)
begin
  if clock = '1' and clock'event then
    counter <= counter + 1;
  end if;
end process;


Örnek Verilog
reg [upper:0] counter;

always @(posedge clock)
  counter <= counter + 1
end


=====================================================
D-FF Örneği

VHDL
process (clock)
  begin
    if clock'event and clock = '1' then
      q_out <= q_in;
    end if;
  end process;


Verilog
always @(posedge <clock>) begin
  q_out <= q_in;
end











Şimdilik bu kadar arada güncellerim.

Kaynaklar:
https://en.wikipedia.org/wiki/VHDL
https://en.wikipedia.org/wiki/Verilog
http://www.angelfire.com/in/rajesh52/verilogvhdl.html
http://electronicdesign.com/what-s-difference-between/what-s-difference-between-vhdl-verilog-and-systemverilog
http://www.ue.pwr.wroc.pl/pld/pld_12.pdf
http://electronics.stackexchange.com/questions/16767/vhdl-or-verilog
gunluk.muuzoo.gen.tr - Kişisel karalamalarım...

Farukc

Ben şuan verilog öğreniyorum nedenini bilmiyorum ama vhdlden bir türlü elektrik alamadım. Sanıyorum verilogdan vhdl'e geçiş pek sorun olmaz. Çünkü intternetteki örnek uygulamalara falan baktım çok benzerlik var.



Yanlız bu şemayı anlayamadım. Mesela profesyonel bir sistem tasarımı yapsak veriog yeteli gelmeyecekmi? Yoksa grafiğimi yanlış yorumladım?

mtologlu

Merhaba,

Acaba BASYS3 kitini sipariş ederken (sıcağı sıcağına ve ileride yeniden onay vb gerekmemesi için) başka sipariş edebileceğimiz yada sipariş vermemizde yarar olabilecek aksam vb. var mıdır? Örneğin FPGA programlamak için ek bir parça gerekir mi?

Ayrıca ileride reel denemeler yapmak için birkaç tane FPGA edinmek isterim.

Bu konuda bilgi alabilirsem sevinirim. Teşekkürler,
Murat Toloğlu
Murat Tologlu, TA1DB