BASYS3 Aldım ve başlangıç için yardım lütfen!

Başlatan hytozd, 03 Ekim 2016, 02:12:32

lkryxk

Alıntı yapılan: MC_Skywalker - 06 Ekim 2016, 10:13:20
pin dosyasını aşağıdaki gibi oluşturmalısın. 

"KaraSimsek.xdc"

set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
set_property BITSTREAM.CONFIG.CONFIGRATE 33 [current_design]
set_property CONFIG_MODE SPIx4 [current_design]
set_property IOSTANDARD LVCMOS33 [get_ports clk]
set_property PACKAGE_PIN W5 [get_ports clk]
set_property PACKAGE_PIN U16 [get_ports {led015[0]}]
set_property PACKAGE_PIN E19 [get_ports {led015[1]}]
set_property PACKAGE_PIN U19 [get_ports {led015[2]}]
set_property PACKAGE_PIN V19 [get_ports {led015[3]}]
set_property PACKAGE_PIN W18 [get_ports {led015[4]}]
set_property PACKAGE_PIN U15 [get_ports {led015[5]}]
set_property PACKAGE_PIN U14 [get_ports {led015[6]}]
set_property PACKAGE_PIN V14 [get_ports {led015[7]}]
set_property PACKAGE_PIN V13 [get_ports {led015[8]}]
set_property PACKAGE_PIN V3 [get_ports {led015[9]}]
set_property PACKAGE_PIN W3 [get_ports {led015[10]}]
set_property PACKAGE_PIN U3 [get_ports {led015[11]}]
set_property PACKAGE_PIN P3 [get_ports {led015[12]}]
set_property PACKAGE_PIN N3 [get_ports {led015[13]}]
set_property PACKAGE_PIN P1 [get_ports {led015[14]}]
set_property PACKAGE_PIN L1 [get_ports {led015[15]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[15]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[14]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[13]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[12]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[11]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[10]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[9]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[8]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[0]}]






Açıklamalardan ben de yararlandım, teşekkür ederim MC_Skywalker. Acaba videodaki olayın sebebi nedir fikriniz varmı?

https://www.youtube.com/watch?v=0PGOxAfpWmc



MC_Skywalker

kaynak kodları burada paylaşırsan bir bakalım. 

lkryxk

#17
Alıntı yapılan: MC_Skywalker - 03 Mayıs 2017, 08:05:41
kaynak kodları burada paylaşırsan bir bakalım.

Ben kodlamadım, kaynak kodunu göremiyorum. Aslında bu kod kaynaklı mı değil mi tespit edemedim. Farklı programlama yaptığımda bu durumu görmedim ama bu olanın nedenini çözmem lazım.