Picproje FPGA Eğitimi Hakkında Bilgiler

Başlatan muuzoo, 23 Temmuz 2015, 22:32:09

MC_Skywalker

Her projede kendin leds vb tanımlaya bilirsin. Yada kitlerinhazır tanım dosyalarını kullanıp ona göre modifiye edersin.

alicavuslu

#61
Hocam arkadaşımızın gerçekleştirdiği devre tasarımı 3 giriş portundan ve 1 çıkış portundan oluşmakta. BASYS 3 üzerinde ki pin atamalarında giriş ve çıkış portları tanımlanmıştır(anahtar, buton led, vga vs). Kendi tasarımımızı yapmış olsaydık bu portları farklı tanımlayabilirdik.

Pin atama işlemlerine gelince arkadaşın kullandığı isimlendirme xdc dosyasındakilerle aynı olmak zorunda değil. Siz clk sinyali haricinde tanımlı giriş değerlerine istediğiniz pin atamasını yapabilirsiniz. btn portuna BTNL-W19 butonunu atayabildiğinzi gibi, BTNC-U18 butonunda atayabilirsinzi veya SW0-V17 anahtar atamasını yapabilirsiniz. FPGA ile çalışmanın en önemli avantajlarından biri de tasarımda değişiklikleri rahat  bir şekilde yapabilmenizdir.

gilestel

Herkese merhabalar
Yapılacak olan derslerin videoları internette olacak mı? Birde kullanılacak olan kit için indirimden nasıl faydalanabilirim. Teşekkürler.

MC_Skywalker

#63
Lisedeyken altaki çizimde ki gibi bir devreyi 40xx seri ile uygulamayı denemiştim.  Şimdi bunu FPGA ile gerçeklemek niyetindeyim.





library IEEE;
use IEEE.STD_LOGIC_1164.ALL;


entity segmentcozucu is
    Port ( Digit : in STD_LOGIC_VECTOR (3 downto 0);
           SegA : out STD_LOGIC;
           SegB : out STD_LOGIC;
           SegC : out STD_LOGIC;
           SegD : out STD_LOGIC;
           SegE : out STD_LOGIC;
           SegF : out STD_LOGIC;
           SegG : out STD_LOGIC);
end segmentcozucu;

architecture Behavioral of segmentcozucu is

begin
    process (Dijit)
            variable cozulenveri : STD_LOGIC_VECTOR (6 downto 0);
            
            begin
            case Dijit is
                 when "0000" =>  cozulenveri := "0000000";  --0
            when "0001" =>  cozulenveri := "0110000";  --1
            when "0010" =>  cozulenveri := "1101101";  --2
            when "0011" =>  cozulenveri := "1111100";  --3
            when "0100" =>  cozulenveri := "0110011";  --4
            when "0101" =>  cozulenveri := "1011011";  --5
            when "0110" =>  cozulenveri := "1011111";  --6
            when "0111" =>  cozulenveri := "1110000";  --7
            when "1000" =>  cozulenveri := "1111111";  --8
            when "1001" =>  cozulenveri := "1111011";  --9
            when others =>  cozulenveri := "0110110";  --istenmeyen H
                 end case;
            SegA <= not cozulenveri(6);
            SegB <= not cozulenveri(5);
            SegC <= not cozulenveri(4);
            SegD <= not cozulenveri(3);
            SegE <= not cozulenveri(2);
            SegF <= not cozulenveri(1);
            SegG <= not cozulenveri(0);
    end process                                    
end Behavioral;

MrDarK

Alıntı yapılan: gilestel - 22 Eylül 2015, 16:31:47
Herkese merhabalar
Yapılacak olan derslerin videoları internette olacak mı? Birde kullanılacak olan kit için indirimden nasıl faydalanabilirim. Teşekkürler.

Merhaba ; Evet eğitimin videoları internete yüklenecek, kit için yaptığımız çalışma sınırlı sayıda olup sizinle iletişime geçeceğim.
Picproje Eğitim Gönüllüleri ~ MrDarK

saybatli


boreas

Yer ayarlasak bu etkinliği Ankarada da yapabilir miyiz ?

alicavuslu


cengav4r

Alıntı yapılan: alicavuslu - 15 Ekim 2015, 16:35:18
Ankara'da egitimi yapabiliriz.
Ankara'da olursa ben de katılmak isterim Mehmet Ali hocam.

alicavuslu

Uygun bir zamanda olabilir. Zaten Ankara'dayız. :)

JOKERAS

alicavuslu usta linkteki kitap sizin eserinizmi?
Yazarlar olarak M.Ali Çavuşlu olunca dikkatimi çekti.
Eğer sizseniz direkt olarak size sorayım.

FPGA ile bir proje geliştirme olayı bana çok uzak,konuya tamamen Fransızım Mcu işini daha çözemedimki kaldıki bunu çözeyim :)
Bu kitabınızı alsak daha ilkokul aşamasındaki benim gibi arkadaşlara FPGA konusunda yardımı olurmu?
Teşekkürler.

http://www.kodlab.com/BookDetail.aspx?ID=94427

alicavuslu

#71
Kitabı Muzaffer arkadaşım ile birlikte yazdık. Kitabı yazma amacımız temel düzeyde FPGA konusunda kaynak oluşturmaktı. Anlatım sizin tabirinizle ilkokul seviyesine uygun olarak yazıldı. FPGA davranışı arkadaşların kafasında yer etmesi amacıyla, Kitap içerisindeki her uygulama satır satır açıklandı. Son kısım ise biraz daha ileri seviye uygulamalar içermekte (FIR filtre, Temel Görüntü İşleme ve Görüntü İşlemede Konvolusyon). Aynı zamanda bazı uygulamaların videolarıda mevcut.

Kitabı alın diyemem o sizin takdiriniz. Kitap içerisinde ki tüm uygulamaları gerçekleştirdiğinizde bu işi için yeteri kadar birikime sahip olacağınız kanaatindeyim.

İlginiz için teşekkürler...

Firzen

Alıntı yapılan: JOKERAS - 15 Ekim 2015, 22:40:12
alicavuslu usta linkteki kitap sizin eserinizmi?
Yazarlar olarak M.Ali Çavuşlu olunca dikkatimi çekti.
Eğer sizseniz direkt olarak size sorayım.

FPGA ile bir proje geliştirme olayı bana çok uzak,konuya tamamen Fransızım Mcu işini daha çözemedimki kaldıki bunu çözeyim :)
Bu kitabınızı alsak daha ilkokul aşamasındaki benim gibi arkadaşlara FPGA konusunda yardımı olurmu?
Teşekkürler.

http://www.kodlab.com/BookDetail.aspx?ID=94427
Bence Al :P :)
Kararsız...

boreas

Ankara için hoca tamam :) İstanbul'daki etkinliği görelim katılıma göre uygun olursa yer ve zaman ayarlayalım.

MrDarK

Alıntı yapılan: Firzen - 16 Ekim 2015, 00:03:39
Bence Al :P :)

Bugün 2 tane kitap siparişi verdik :) Herşeyi de hocamıza sormak yerine kitaptan öğrenelim birazda :) Youtube 'da kayıtları da var sanırım.
Picproje Eğitim Gönüllüleri ~ MrDarK