Alıntı yapılan: MC_Skywalker - 10 Aralık 2015, 17:42:32
Yanıp sönen LED uygulamam
http://youtu.be/zRhq0cH3OBo (http://youtu.be/zRhq0cH3OBo)
FPGA ile BCD to Binary demultiplexer gerçeklemesi.
http://youtu.be/4BHIVRS0Hs4 (http://youtu.be/4BHIVRS0Hs4)
-----------------------------------------------------------------------------------------
16bit yukarı/aşağı sayıcı
http://youtu.be/EQbJrg95WK4 (http://youtu.be/EQbJrg95WK4)Kod Seç
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.numeric_std.ALL;
entity onalti is
Port ( clk100 : in STD_LOGIC;
anh : in STD_LOGIC;
led015 : out STD_LOGIC_VECTOR (15 downto 0));
end onalti;
architecture Behavioral of onalti is
signal prescaller : integer range 0 to 800000:=0;
signal sonuc : integer range 0 to 65535:=0;
begin
led015<=STD_LOGIC_VECTOR(to_unsigned(sonuc,16));
process(clk100)
begin
if(clk100'event and clk100='1') then
if(prescaller<800000) then
prescaller<=prescaller+1;
else
prescaller<=0;
end if;
if(prescaller=0) then
case anh is
when '1' =>
if(sonuc<65535) then
sonuc<=sonuc+1;
else
sonuc<=0;
end if;
when '0' =>
if(sonuc>0) then
sonuc<=Sonuc-1;
else
sonuc<=65535;
end if;
end case;
end if;
end if;
end process;
end Behavioral;
----------------------------------------------------------------------------------------
VHDL ile Karaşimşek Uygulamam
http://youtu.be/rmpanx5cP8U (http://youtu.be/rmpanx5cP8U)Kod Seç
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity KaraSimsek is
Port ( clk : in STD_LOGIC;
led015 : out STD_LOGIC_VECTOR (15 downto 0));
end KaraSimsek;
architecture Behavioral of KaraSimsek is
constant SYS_CLK_PERIOD : integer := 10; -- ns cinsinden
constant NEW_CLK_PERIOD : integer := 100_000_000; -- ns cinsinden
constant CLK_COUNT : integer := NEW_CLK_PERIOD / (2 * SYS_CLK_PERIOD);
signal counter: std_logic_vector(3 downto 0):= "0000";
signal gecici: std_logic_vector(3 downto 0);
signal clk_new : std_logic := '0';
signal count : integer range 0 to 99999999 := 0;
signal r_led015 : STD_LOGIC_VECTOR (15 downto 0):= X"0001";
signal fwd : std_logic := '1';
begin
process(clk)
begin
if clk= '1' and clk'event then
if count = CLK_COUNT - 1 then
count <= 0;
clk_new <= not clk_new;
else
count <= count + 1;
end if;
end if;
end process;
process(clk_new)
begin
if rising_edge(clk_new) then
if (fwd = '1') then
r_led015 <= r_led015(14 downto 0) & r_led015(15);
if (r_led015 = X"4000") then
fwd <= '0';
end if;
else
r_led015 <= '0' & r_led015(15 downto 1);
if (r_led015 = X"02") then
fwd <= '1';
end if;
end if;
end if;
end process;
led015 <= r_led015;
end Behavioral;
[Common 17-345] A valid license was not found for feature 'Synthesis' and/or device 'xc7a35t'. Please run the Vivado License Manager for assistance in determining which features and devices are licensed for your system. Resolution: Check the status of your licenses in the Vivado License Manager. For debug help search Xilinx Support for "Licensing FAQ". |
Alıntı yapılan: hytozd - 04 Ekim 2016, 02:13:58
(https://s21.postimg.cc/kwbjl5w83/Ads_z.jpg) (https://postimg.cc/image/kwbjl5w83/)
Alıntı yapılan: muuzoo - 04 Ekim 2016, 11:01:02
Lisanslarda görünürde bir sorun yok gibi. Certified base lisans dosyanızı silip bir dener misiniz? Activation based lisasn ile çalışması lazım normal şartlar altında. Çünkü webpack sürümünü kullanıyorsunuz.
set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
set_property BITSTREAM.CONFIG.CONFIGRATE 33 [current_design]
set_property CONFIG_MODE SPIx4 [current_design]
set_property IOSTANDARD LVCMOS33 [get_ports clk]
set_property PACKAGE_PIN W5 [get_ports clk]
set_property PACKAGE_PIN U16 [get_ports {led015[0]}]
set_property PACKAGE_PIN E19 [get_ports {led015[1]}]
set_property PACKAGE_PIN U19 [get_ports {led015[2]}]
set_property PACKAGE_PIN V19 [get_ports {led015[3]}]
set_property PACKAGE_PIN W18 [get_ports {led015[4]}]
set_property PACKAGE_PIN U15 [get_ports {led015[5]}]
set_property PACKAGE_PIN U14 [get_ports {led015[6]}]
set_property PACKAGE_PIN V14 [get_ports {led015[7]}]
set_property PACKAGE_PIN V13 [get_ports {led015[8]}]
set_property PACKAGE_PIN V3 [get_ports {led015[9]}]
set_property PACKAGE_PIN W3 [get_ports {led015[10]}]
set_property PACKAGE_PIN U3 [get_ports {led015[11]}]
set_property PACKAGE_PIN P3 [get_ports {led015[12]}]
set_property PACKAGE_PIN N3 [get_ports {led015[13]}]
set_property PACKAGE_PIN P1 [get_ports {led015[14]}]
set_property PACKAGE_PIN L1 [get_ports {led015[15]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[15]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[14]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[13]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[12]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[11]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[10]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[9]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[8]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[0]}]
Alıntı yapılan: MC_Skywalker - 06 Ekim 2016, 10:13:20
pin dosyasını aşağıdaki gibi oluşturmalısın.
"KaraSimsek.xdc"Kod Seç
set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
set_property BITSTREAM.CONFIG.CONFIGRATE 33 [current_design]
set_property CONFIG_MODE SPIx4 [current_design]
set_property IOSTANDARD LVCMOS33 [get_ports clk]
set_property PACKAGE_PIN W5 [get_ports clk]
set_property PACKAGE_PIN U16 [get_ports {led015[0]}]
set_property PACKAGE_PIN E19 [get_ports {led015[1]}]
set_property PACKAGE_PIN U19 [get_ports {led015[2]}]
set_property PACKAGE_PIN V19 [get_ports {led015[3]}]
set_property PACKAGE_PIN W18 [get_ports {led015[4]}]
set_property PACKAGE_PIN U15 [get_ports {led015[5]}]
set_property PACKAGE_PIN U14 [get_ports {led015[6]}]
set_property PACKAGE_PIN V14 [get_ports {led015[7]}]
set_property PACKAGE_PIN V13 [get_ports {led015[8]}]
set_property PACKAGE_PIN V3 [get_ports {led015[9]}]
set_property PACKAGE_PIN W3 [get_ports {led015[10]}]
set_property PACKAGE_PIN U3 [get_ports {led015[11]}]
set_property PACKAGE_PIN P3 [get_ports {led015[12]}]
set_property PACKAGE_PIN N3 [get_ports {led015[13]}]
set_property PACKAGE_PIN P1 [get_ports {led015[14]}]
set_property PACKAGE_PIN L1 [get_ports {led015[15]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[15]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[14]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[13]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[12]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[11]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[10]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[9]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[8]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led015[0]}]
(https://s12.postimg.cc/nequwct2x/Untitled_1.jpg) (https://postimg.cc/image/nequwct2x/)
Alıntı yapılan: MC_Skywalker - 03 Mayıs 2017, 08:05:41
kaynak kodları burada paylaşırsan bir bakalım.