Picproje Elektronik Sitesi

DİJİTAL & ANALOG ELEKTRONİK => Pld, Spld, Pal, Gal, Cpld, Fpga => Konuyu başlatan: eigenvector - 22 Mart 2022, 13:31:58

Başlık: Counter amaçlı CPLD kullanmak
Gönderen: eigenvector - 22 Mart 2022, 13:31:58
Bir uygulama için 50 MHz saat hızında çalışan ve tek işi 32 bite kadar sayıp, overflow olduğunda dijital çıkış veren bir devreye ihtiyacım var. Yani, kullanıcı girişine göre bazen 10'ar 10'ar sayacak, bazen 100'er 100'er sayacak ve 32-bit'i geçtiğinde çıkış verecek. Bunun için CPLD'ler uygun mu? Daha önce hiç kullanmadım, yeni yeni öğrenmeye çalışıyorum.

Mikrodenetleyici kullanamıyorum çünkü hem piyasada yok hem de if-else, interrupt vs kullanmak vakit alıyor. Timer da kullanamıyorum çünkü o da sadece 1er 1er artıyor, her değer için prescaler bulmak da mümkün değil.
Başlık: Ynt: Counter amaçlı CPLD kullanmak
Gönderen: MC_Skywalker - 22 Mart 2022, 18:42:42
EPM7064AE gibi 64 macrocelli bir CPLD işini görür.