Picproje Elektronik Sitesi

DİJİTAL & ANALOG ELEKTRONİK => Pld, Spld, Pal, Gal, Cpld, Fpga => Konuyu başlatan: EMG81 - 19 Nisan 2011, 13:41:54

Başlık: FPGA Öğreniyorum.!
Gönderen: EMG81 - 19 Nisan 2011, 13:41:54
Selam arkadaşlar. Uzun zamandır Çok hızlı, çok yavaş, çok kolay, çok zor işleri mikro işlemciler ile başardık. Fakat şöyle birşey de varki mcu ların da başa çıkamadığı işler var. Bir ara sırf Daha hızlılar diye ARM7 lerle uğraşmak istedim ama FPGA yı duyunca keşke bunları öğrenseymişim dedim. Tamam üzerlerinde mcu gibi ara birimler,donanımlar yok ama şu ana kadar çalışabildiğim en hızlı mcu dan kat kat daha hızlılar.

Şimdi gelelim asıl konuya;

Abiler Ablalar. Ben bu FPGA işini öğrenmek istiyorum. Ayrıca ilerleyen zamanlarda yine benim gibi bu işi öğrenmek isteyen arkadaşlarında bu başlığı açıp, okuduktan sonra bu iş üzerinde genel bir bilgiye sahip olabilmesini istiyorum. O sebeple FPGA ile çalışmış,çalışan arkadaşlar! sizin yardımlarını bekliyorum..

Konu hakkında biraz bilgiye sahibim. Fakat Doğrulukları konusunda tereddütlerim olduğundan Basit sorularıda tekrar soracam sizlere.

1- Ben Xilinx in Chip leri ile çalışmak istiyorum. Ne derece doğru düşünüyorum ? Hızları nasıldır ? Hangi program ile Hangi dilde kullanılır.
2- Nasıl Programlanır? Programlayıcıyı yapayımmı? Yoksa orjinal olarak satınmı alayım ? Hangi programla programlanır?
3- Makul fiyatta deney kiti modeli varsa birkaç öneri alabilirim. Eğer ucuz modeller yoksa direk kendi tasarımlarımda kullanabilirim.(Gerekli bilgileri edindikten sonra)
4- Fiyatlarına göre en ucuz Türkiyede ençok kullanılan ve en ideal modelleri benimle paylaşabilirmisiniz.(Bu soruyu yadırgamayın. 1 adet alımda sorun olmuyor fakat 500-1000 adette çok fiyat farkı doğuyor.)
5- Donanımı hakkında beni biraz bilgilendirebilirmisiniz? Minimum çalışma şartları nelerdir? Dışarıdan osilatör ilemi çalışır ? Nasıldır? nedir?

Bunların cevaplarını aldıktan sonra 2. derece sorularıma geçebilirim :)

Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: fatihkuzucu - 19 Nisan 2011, 13:49:27
Arm7 ögren. FPGA işine yaramaz.
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: Ersin - 19 Nisan 2011, 14:05:49
Sen kesin bu vga için istiyorsundur . :D
http://www.ulrichradig.de/home/index.php/cpld/vga_monitortester
http://www.ulrichradig.de/home/index.php/cpld/8bit_c_graka
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: EMG81 - 19 Nisan 2011, 14:11:00
VGA da keşke FPGA kullansaydım diyorum ama FPGA hakkında da pek birşey bilmiyorum. Ayrıca artık o işten dönüşte yok.. Pic e elim mahkum :D

ARM9 a eyvallah ta; Pic, ATmel ile yapamayıpta ARM7 de yapabileceğim pek fazla birşey yok. Varsada FPGA ile yaparım ;)


Konuya dönecek olursak; Benim halen yanıt bekleyen sorularım varrrrrrr. :)
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: kudretuzuner - 19 Nisan 2011, 14:39:47
Merhaba
Aşağıdaki linklere bir göz atar mısınız?
http://www.google.com.tr/#hl=tr&source=hp&biw=1020&bih=567&q=xilinx&aq=1&aqi=g10&aql=&oq=xili&fp=be892327221c22fd

http://www.xilinx.com/
http://www.cpu-turkey.com/xilinx/
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: EMG81 - 19 Nisan 2011, 14:59:15
Yanıt için teşekkür ederim "kudretuzuner". Verdiğiniz linkleri önceden incelemiştim ama tekrar dan okudum. Şu an her bilgiye ihtiyacım var.

Bu arada ileti kısmına yazdıklarınız çok etkileyici :)
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: Cetinal - 19 Nisan 2011, 16:42:47
Alıntı yapılan: fatihkuzucu - 19 Nisan 2011, 13:49:27
Arm7 ögren. FPGA işine yaramaz.

kulvarları çok çok farklı  MCU nun işi kontrol etmek ama cpld veya fpga daki paralel ve real-time işlem ve hız yeteneği hiç bir mcu da da yoktur...
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: EMG81 - 19 Nisan 2011, 17:00:37
Aslında bende o yüzden öğrenmek istiyorum ya...

Yahu sorulara cevap verebilecek kimse yokmu ???
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: EMG81 - 19 Nisan 2011, 17:42:28
FxDev yanıt için teşekkür ederim. Zaten küçücük bir iş için Pic32, Koskoca bir iş içinde 12Fxxx serisi chiple çalışmak aptallık olur. FPGA lerin yeri çok ayrı. Sende bahsetmişsin. nSn lerin  konuştuğu yerde FPGA lar kendini belli eder.

Yazdıklarından yeni şeyler öğrendim ama biraz daha giriş düzeyinde gidelim şuan..
Kit alırım ama evde yada işte onunla pek vakit geçiremem. Benim öncelikle bu chiplerin yapılarını, Programlama dillerini öğrenmem lazım. Haa birde VHDL dili için hiç bir sıkıntım yok biraz araştırdım. Yazılanları anlıyorum en azından. Onuda öğrenmek çok zor olayacaktır diye düşünüyorum.

Şu an öğrenmek istediklerim;

Minimum çalışma şartları nelerdir? (Şema)
Nekadar hız da çalışabilir?
Hangi compiler ı önerirsin ?
ISP nasıl programlarım ?
Programlayıcı durummu nedir? Yapayım mı ? alayım mı ?

Bunları bilirsemdaha hızlı ilerlerim diye düşünüyorum... Tekrardan yanıtın için çok teşekkür ettim
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: leblebitozu - 19 Nisan 2011, 18:29:28
@EMG81

1- Ben Xilinx in Chip leri ile çalışmak istiyorum. Ne derece doğru düşünüyorum ? Hızları nasıldır ? Hangi program ile Hangi dilde kullanılır.
Hız konusunda çok farklılık göstermiyorlar, Programlamayı VHDL ya da VERILOG ile yapacaksan her firmanın ücretsiz compiler'ları vardır. (IP'ler hariç).
Çipleri uzakdoğu'dan temin edeceksen altera daha ucuzdur.

2- Nasıl Programlanır? Programlayıcıyı yapayımmı? Yoksa orjinal olarak satınmı alayım ? Hangi programla programlanır?
Firmaların bu iş için gerektirdikleri IDE'ler mevcut, programlayıcı ile uğraşmaya gerek yok çin malı klonları çok ucuza alabilirsin, VHDL ve VERILOG standart olarak desteklenir, bunun haricinde C dilini kullanarak programlayabileceğin compiler'lar da mevcut.
www.satistronics.com , burdan klonları alabilirsin ben öyle yaptım.

3- Makul fiyatta deney kiti modeli varsa birkaç öneri alabilirim. Eğer ucuz modeller yoksa direk kendi tasarımlarımda kullanabilirim.(Gerekli bilgileri edindikten sonra)
Makul fiyata çizgi elektronik Altera'nın deney kitlerini satıyor ordan kredi kartı ile alabilirsin.

4- Fiyatlarına göre en ucuz Türkiyede ençok kullanılan ve en ideal modelleri benimle paylaşabilirmisiniz.(Bu soruyu yadırgamayın. 1 adet alımda sorun olmuyor fakat 500-1000 adette çok fiyat farkı doğuyor.)
Türkiye fiyatlarını bilmiyorum Xilinx'i empa getiriyor fakat.

5- Donanımı hakkında beni biraz bilgilendirebilirmisiniz? Minimum çalışma şartları nelerdir? Dışarıdan osilatör ilemi çalışır ? Nasıldır? nedir?
Minumum çalışma şartları osilatör, harici serial flash (bazı modellerde içinde SPARTAN-3AN gibi). Güç tüketimleri mikro'lara göre oldukça fazladır. Derlenmiş kodlar harici flash'a atılır.


Paralel işlem yapan birkaç mikroişlemci'de mevcut araştırmak istersen onları da yazalım

http://www.parallax.com/propeller/
http://www.xmos.com/   

Yeri gelmişken PIC32 başarılı bir işlemcidir, GNU C kullanabilir, Microchip'in Ses,Grafik,Network,Kablosuz Network, USB host, Flash disk system gibi detaylı ve çok başarılı bir çok kütüphanesini ve çipin fiyatını işin içine kattığınızda ARM'lere oldukça uygun bir alternatif'tir.
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: EMG81 - 19 Nisan 2011, 19:04:04
Pic32 leri şu an kullanıyorum zaten :) ARM7 lere bir türlü ısınımadım.  Çok denedim olmadı, olmuyor.. Bende bu yüzden zorlamıyorum :D

Şimdibir model e ihtiyacım var. Araştırdım biraz.
Xilinx için:
http://www.hdl.co.jp/en/index.php/xilinx-series1/spartan-6.html
Altera için:
http://www.hdl.co.jp/en/index.php/altera-series1.html

Hangi modelle başlamalıyım.? Bu arada Flash belleği içerisinde olan modelleri tercih etmek istiyorum. 

Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: muuzoo - 19 Nisan 2011, 19:19:11
Yaklaşık 3 yıldır fpga üzerinde çalışıyorum ve ben bile hala fpga'dan anlıyorum diyemiyorum. Çünkü bambaşka bir dünya. Yaklaşık 6 yıllık da bir pic ailesi tecrübem var. FxDev arkadşımız zaten tam olarak değinmiş farklılıklarına. Zaman kritik uygulamalarla çalışmıyorsanız (görüntü işleme,sinyal işleme v.b) fpga biraz pahalı bir çözüm olacaktır. Şu aşamada izleyeceğiniz en mantıklı yol bence kullandığınız mcu'ları sınırlarına kadar zorlamaktır.

Ama yine'de biraz bilgim olsun diyorsanız Altera DE2-70 ya da DE2-115 (Çizgi Elektronik),Xilinx Spartan3 ya da Spartan6 serisi fpga'lara (www.elektrovadi.com) bakabilirsiniz.

Yeni başlayanlar için altera'nın setlerini daha kullanışlı buluyorum. Üzerinde pek çok bileşen var ve pek çok örnek uygulama mevcut. Ben önce DE2-70 üzerinde çalışıp daha sonra Xilinx Virtex5 OpenSparc kartına geçtiğim için Xilinx'in giriş seviye kartları hakkında yorum yapamayacağım.

Kullanacağınız iki dil var ya VHDL ya da Verilog. Verilog üzerinde kod yazmak biraz daha kolay. Dilin yapısı (tam olarak olmamakla birlikte) C'ye benzer gibi. VHDL ise "strongly type" (tam türkçesini bilmiyorum) bir dil. Kod yazarken zaman zaman saç baş yoldurabiliyor ama hatayı daha kolay buluyorsunuz.

Diller ortak olduğu için (özel kütüphaneler kullanmadığınız müddetçe) rahatça herhangi bir fpga üzerine kodlarınızı taşıyabilirsiniz.

Örnek olarak 2x16 LCD çalışması : http://gunluk.muuzoo.gen.tr/2010/01/08/xilinx-ml506-16x2-lcd-calisma/

Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: Ankaralı - 19 Nisan 2011, 19:36:47
KAYNAKLAR;


1-   Digital Logic and Microprocessor design with VHDL         Enoch O.HWANG

2-   Digital Design (Principles & Practices)               John F.WAKERLY

3-   Digital System Design using VHDL                  Charles H.ROTH

4-   Circuit Design with VHDL                             M.I.T Press

5-   Introduction to Digital Design                          Richard E.HASKEL
                                                                                              Darrin M.HANNA


Dostum FPGA öğrenmek istersen bu 5 kitabın pdf leri internette mevcut.Ben sadece bu 5 kitaba çalışarak FPGA denen zımbırtıyı 2 ayda anlamaya çalıştım.Üstüne birde bitirme tezi yaptım uygulama dahi gerçekleştirdim.Kit alacaksanda NEXYS2 kartını almanı öneririm fiyatıda 250 TL.Yalnız işlemcileri bununla çalışırken arıycaksın söliyim ...
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: teknikelektronikci - 19 Nisan 2011, 19:53:32
Alıntı yapılan: RoMeO123 - 19 Nisan 2011, 16:42:47
Alıntı yapılan: fatihkuzucu - 19 Nisan 2011, 13:49:27
Arm7 ögren. FPGA işine yaramaz.

kulvarları çok çok farklı  MCU nun işi kontrol etmek ama cpld veya fpga daki paralel ve real-time işlem ve hız yeteneği hiç bir mcu da da yoktur...


2 farkli ledi bile kontrol ederken cpld farkini görebilyioruz

fpga veya cpld nedense cok ucuk seyler icin diye düsünülyüor ama calistigim is yerinde sadece i2c benzeri bir bus dan datayi alip 8 bitlik mosfetleri kontrol eden bir kartda bile max2 cpld kullaniliyor niye diye kendime hep sorar dururum

bir ara picproje icinde cpld deneme boardu yapmaya calistim ama programlama kismi problemli cünkü herkezde paralel port yok usb li icin ise cypress veya alterayi programlamak gerekiyor
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: fatihkuzucu - 19 Nisan 2011, 20:23:55
Bu ülkede insanlar doğru yönlendirilmiyor.
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: Ersin - 19 Nisan 2011, 20:31:08
Her iki markanın kitlerini kullanıyorum.
FPGA ya harici flash gerekir , cpld ile başlaman en uygun olur ( flash içinde bulunur ve kilitleyebiliyorsun ).Xilinx ve Altera ya gelince : Altera ( Quartus) ide si daha kullanışlı.Hangisi daha iyi dersen oyle bişey yok, her ikisinin avantajı ve dezavantajı var.İki firma ide leri birleştirip yaparsa bir gün en iyisi o olur :D

CPLD olarak Altera epm240 , xilinx xc2c128 ile başlayabilirsin.
Kit olarak cpld de cizgi tagem in http://www.cizgi-tagem.org/e-market/product.aspx?key=altera-max2 kiti alabilirsin ( bu kitte programmer ozelligini de kullanabiliyoesun başka bir cpld/fpga programlamak için )
İlla 2x16 lcd olsun diyosun yine cizgi tagem in de0 bordu alabilirsin.
Xilinx olsun diyosan ( Spartan 3E Starter Board)  uygundur, daha yenileri de mevcut ama hem fiyat hemde şu an ki seviyen için fazla gelir.

Board olarak  Spartan 3E Starter Board, xc9572 ( el yapımı/yukarıda link verdigim ),x-board , DE0,DE2-70,MAX2,MAX2pci, bordlarını kullanmaktayın, bzılarını daha çok. :D
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: teknikelektronikci - 20 Nisan 2011, 00:21:28
Alıntı yapılan: Ersin - 19 Nisan 2011, 20:31:08
Her iki markanın kitlerini kullanıyorum.
FPGA ya harici flash gerekir , cpld ile başlaman en uygun olur ( flash içinde bulunur ve kilitleyebiliyorsun ).Xilinx ve Altera ya gelince : Altera ( Quartus) ide si daha kullanışlı.Hangisi daha iyi dersen oyle bişey yok, her ikisinin avantajı ve dezavantajı var.İki firma ide leri birleştirip yaparsa bir gün en iyisi o olur :D

CPLD olarak Altera epm240 , xilinx xc2c128 ile başlayabilirsin.
Kit olarak cpld de cizgi tagem in http://www.cizgi-tagem.org/e-market/product.aspx?key=altera-max2 kiti alabilirsin ( bu kitte programmer ozelligini de kullanabiliyoesun başka bir cpld/fpga programlamak için )
İlla 2x16 lcd olsun diyosun yine cizgi tagem in de0 bordu alabilirsin.
Xilinx olsun diyosan ( Spartan 3E Starter Board)  uygundur, daha yenileri de mevcut ama hem fiyat hemde şu an ki seviyen için fazla gelir.

Board olarak  Spartan 3E Starter Board, xc9572 ( el yapımı/yukarıda link verdigim ),x-board , DE0,DE2-70,MAX2,MAX2pci, bordlarını kullanmaktayın, bzılarını daha çok. :D

ersin hocam bu cpld nin programlama kismini yani usb üzerindne jtag programmer kismini kendimiz yapma imkanimiz varmi bilginiz varmi ? böylece picproje fpga boardu ortaya cikaririz ne dersiniz
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: EMG81 - 20 Nisan 2011, 01:23:40
Ben birde Fatih ' i dinlemek istiyorum arkadaşlar. Neden FPGA, CPLD  değilde ARM7 Fatih ? Zaten ben Pic32 leri kullanıyorum.
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: Ersin - 20 Nisan 2011, 09:30:01
@ teknikelektronikci
Altera nın usb-byteblaster klon devresi internette mevcut ama şahsen yapıp denemedim.Xilinx in usb olarak cypressin bir entegresi ile usb-lpt cevirip o şekilde kullanılıyo, yani benim bildigim xilinx in usb olarak şema ve kod olarak byteblaster gibi nette yok.
Paralel porttan xilinx i  programlama konusunda picproje 1.dergide yaptıgım devrenin şema ve pcb si mevcut.

Çizgi-tagem in sattığı Altera max2 kiti usb programlayıcı olarak kullanabiliyorsunuz kit+programlayıcı şeklinde.Mesela de0/de2 bordlarındaki programmeri harici bir cpld/fpga programak için kullanamıyorsunuz.

@EMG81
Yanlış hatırlamıyosam arm7 leri sevmedim yazmıştın, onları sevmediysen fpga yi hiç sevmeyeceksin
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: leblebitozu - 20 Nisan 2011, 11:36:58
@ersin

Hatırladığım kadarı ile max2 kiti'nin flash'a yazma kabiliyeti yok, kodu sadece fpga ram'e aktarabiliyor.

Klon'ları uygun fiyata satıyorlar uğraşmaya değmez

http://www.satistronics.com/xilinx-platform-cable-usb-fpga-cpld-usb-download-cable_p2817.html
http://www.satistronics.com/mini-altera-fpga-cpld-usb-blaster-programmer-jtag_p2816.html
http://www.aliexpress.com/product-fm/422443966-USB-Blaster-Download-Cable-For-FPGA-development-board-wholesalers.html
http://www.aliexpress.com/product-fm/442774058-Xilinx-Platform-Cable-USB-CPLD-FPGA-USB-download-cable-wholesalers.html

Bu arada FPGA'leri programlamanın iki yolu var schematic diagram oluşturup lojik bileşenleri birbirine bağlayarak, ha burda lojik bilgisi önemli ama direk VHDL ya da Verilog kullanıyorsanız yoğun lojik bilgisi gerektirdiğine katılmıyorum. Klasik programlamadan farklı olarak yazdığınız herşey siz belirtmediğiniz sürece paralel çalışır.
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: fatihkuzucu - 20 Nisan 2011, 11:48:51
FPGA ögrenmeye karşı değilim. Ama seçim yapılacaksa arm7 ögrenilmelidir.

FPGA ile yapılacak ve her yönden avantaj saglayacak uygulamalar %10 u geçmez. Bir uygulama ekonomik olarak bir değeri var ise fpga den çok daha uygun çözümleri hızla piyasaya girer. Sanırsınızki fpga ögrenecegim dünyayı kurtaracagım. Öyle bir şey yok. Gerektigi yerde gerektigi kadardan öteye gidemez. Maliyet hesabı diye bir sey var. Ama türkiyede hedef olarak fpga sunulmakta. Bunu üniversitelerimizden taa forumlarımıza kadar "fpga iyidir iyi ögren" tarzı bir cahilce yada uygunsuz amaçlı bir cümle çevresinde götürüyoruz.

Arm7 den vazgecmenizin temel sebebi basic derleyicisinin olmaması. Bu mantıkla giderseniz hiç bir şey ögrenemeyeceksiniz zaten. Ersinde belirtmiş fpgade çok kolay bir dilde yazılmıyor kodlar. VHDL bildigim kadarıyla C ye benzeyen bir yapısı var.
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: Ersin - 20 Nisan 2011, 11:54:43
@ leblebitozu
max2 nin flash a yazma kabiliyeti ile alakası yok orda ekstra jtag soketi mevcut,uzerindeki blasteri kullanıyosunuz , jumper ile set ediyosunuz kit mi harici mi.
Onu 1-2 ay kullandım programmer olarak, şu an verdigin linkteki klonu kullanmaktayım, onda da silabs ın x51 taban lı bir mcu kullanıyorlar.
Xilinx in klon u kullanmadım direk olarak goruyosa impac iyi ama tahminim thirdparty olarak goruyodur.
Xilinx te de x-bordun usb programmerini kullanmaktayım , tabii 3.party olarak kendi yazılımı var file ları  sfv olarak atmak zorundasınız.X-bordun satışı da o yuzden durduruldu , 25 dolarlık bordu usb programlayıcı olarak kullanıyorlar diye.

@EMG81
FPGA/CPLD  , arm , pic bunları kullandıkça ve iyi bir şekilde ogrendikçe bunların yerlerini göreceksin, tecrube gerek.Bazen pic ile 10dk da yaptıgın bir uygulamayi fpga ile 1gun-1hafta ugraşabiliyorsun, projeye gore bazen hem arm hem fpga kullanmak zorundasın.Çok esnek konu aslında ezbere konuşmak yanlış olur,yapacağın proje ye göre hangisi en uygunsa onu kullanmak gerek.
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: leblebitozu - 20 Nisan 2011, 12:01:59
@ersin

Ersin kardeşim MAXII manuel'da der ki;

MAX II Micro can be used as a USB Blaster, and programming mode supported depends on the configuration device of Altera board connected to MAX II Micro (Only JTAG programming mode is supported to configure MAX II Micro).  Benim bildiğim JTAG modunda kodu FPGA'e aktarıp ordan çalıştırırsın, enerjiyi kestiğinde program uçar gider. Yanlış isem düzeltirsen sevinirim, USB blaster'da ise kodu FPGA'e bağlı harici flash'a aktarabilirsin.
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: Ersin - 20 Nisan 2011, 12:14:28
@leblebitozu
Kiti inceledin mi, bence incelemedin.Kitte   ( epm240+ft245+93lc46 ) = byteblaster  + max2  ( epm2210  ) mevcut.Kit 2 kısımdan oluşmakta blaster + cpld ve çevre komponentler.
Manual ın 6. sayfasında bunu daha net görebilirsin:
http://www.cizgi-tagem.org/resource/vfiles/tagem/pms_product/10/max_ii_micro_usermanual_v1.31.pdf
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: leblebitozu - 20 Nisan 2011, 12:20:44
@ersin

MAXII ve DE1 boardlarım mevcut.DE1 deki harici flash'ı MAXII ile programlamak mümkün olmadı, ancak JTAG modunda RAM'e aktarabildi. XILINX klonunun kutusunu şimdi açtım içinde Cypress CY7C68013A işlemci ve XILINX XC2C256 CPLD mevcut buna göre yorum yapabilirsiniz, orjinalinin içeriğini bilmiyorum.
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: Ersin - 20 Nisan 2011, 12:37:48
@leblebitozu
max2 diyosun byteblaster epm240 mı kast ediyorsun?Daha önceki yazımda yazdım bu cpld kitte harici fpga/cpld programlayabiliyosunuz diye.Diğer bordlarda bu özellik yok bildigim kadarı ile, zaten programmer alacagımıza 20 dolar daha fazla verip bunu almıştık zamanında.Bende de DE0 ve DE2-70 bordları mevcut , genelde DE2-70 kullanmaktayım , bunlarda harici bir fpga/cpld yi programlayabilecek bişey bulamadım, sendeki de1 bordta o şekilde dir tahminim.Bütün bordlarda byteblaster mevcut ama bunu dışarı aktarmamışlar ( yeni çıkan kartlarda belkide vardır ) sadece bu max2 kitte gördum.Bu max2 kit ile epcs1/flash,epcs4/flash,ep1c6/fpga-cyclone,epm3064/cpld,epm7xxx/cpld programladım.

Xilinx e gelince bildigim kadarı ile orjinal usb programmer da benzer bir yapı mevcut.Bütün devrelerinde usb entegresi olarak  CY7C68013A kullanıyorlar.Bu xilinx klonu impact direk olarak usb programmer olarak goruyor mu.Eğer görüyrsa iyi bişey direk olarak atabilirsin sfv ler ile ugraşmadan.Bendeki x-board ( ufak cpld kiti )  yine CY7C68013A mevcut ama digilentin kendi programından yuklemek zorundasın.
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: leblebitozu - 20 Nisan 2011, 12:43:30
@ersin

DE1 üzerinde epcs4 flash mevcut ve MAXII kit ile bunu programlamak mümkün olmuyor, belki de DE1 e özgü bir kısıtlamadır başka bir board'da denemedim. Bunu belirtmek istemiştim sadece.
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: Ersin - 20 Nisan 2011, 13:44:39
Bildiğim kadarı ile epcs nin pinleri byteblastera baglı direk.de2-70 in şemasına baktım ,çıkış yok herhangi bir yere .CPLD isp diye bir port var o da sanırım  byteblasterın cpld sini programlamak için.max2 ile benim yaptıgım kartları programlıyorum hem fpga hem flash ı. Bu DE-x bordlar kapalı bir sistem olarak tasarlanmış, adamlar birde programmer da satmaları gerekiyo :D.
Neden harici max2 ile bordu programlamak istiyorsun?
Normal şartlarda yaptığın bir karta jtag konektoru taktıysan sorun olmuyo.
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: EMG81 - 20 Nisan 2011, 21:00:22
Buradan bir gün uzak kaldım bir sürü şey yazmışsınız.. Teşekkür ederim.

şimdi öncelikle.;
"FPGA ögrenmeye karşı değilim. Ama seçim yapılacaksa arm7 ögrenilmelidir.

FPGA ile yapılacak ve her yönden avantaj saglayacak uygulamalar %10 u geçmez. Bir uygulama ekonomik olarak bir değeri var ise fpga den çok daha uygun çözümleri hızla piyasaya girer. Sanırsınızki fpga ögrenecegim dünyayı kurtaracagım. Öyle bir şey yok. Gerektigi yerde gerektigi kadardan öteye gidemez. Maliyet hesabı diye bir sey var. Ama türkiyede hedef olarak fpga sunulmakta. Bunu üniversitelerimizden taa forumlarımıza kadar "fpga iyidir iyi ögren" tarzı bir cahilce yada uygunsuz amaçlı bir cümle çevresinde götürüyoruz.

Arm7 den vazgecmenizin temel sebebi basic derleyicisinin olmaması. Bu mantıkla giderseniz hiç bir şey ögrenemeyeceksiniz zaten. Ersinde belirtmiş fpgade çok kolay bir dilde yazılmıyor kodlar. VHDL bildigim kadarıyla C ye benzeyen bir yapısı var."

Fatih benim asıl hakim olduğum dil ASM dır. Basic i de C yi de sevmem. Ha şu var. Vb.net i yıllarca kullana kullana Basic e yatkınlığım arttı. C yi de bikaç ay önce öğrendim. En eksik olduğum dil C dir. Fakat Bence asıl öğrenilmesi gereken konu Programlama mantığıdır. Hangisi kolayıma gelirse, Hangisi bu işi en iyi yaparsa onu kullanıyorum. Mesela hız gerektiren nano sn leri hesapladığım bir işte ne basic nede c kullanırım.

ARM7 ye gelince. biraz baktım. Portları bile doğru dürüst kontrol edilmiyor.(Maskeleme yapılması lazım vs ) Onun yerine Pic32 ler var kullandığım. Buda 32 bit. Tamam Pic32 leri geçen ARM7 modelleri var. Ama ne gerek var ?
Haaa bu arada yaptığım işe göre işlemcimi seçerim ben.! Bir bakarsın Pic kullanırım. Bir bakarsın Texas ın bi MCU sunu kullarınım.
FPGA konusunda haklısın. Üzerinde hiçbir donanımı yok. Pahalı ve gereksiz. Fakat.! Eğer 100Mhz de çalışman gerekiyorsa ELİN ONA MAHKUM!
VHDL e gelince Sanki basic ile C karışımı birşeye benziyor ama yazılmış programları okurken ne işlem yaptığını anlıyorum zaten. Uzun lafın kısası
ARM7 den halen nefret ediyorum. Mümkün olduğuncada ondan kaçıyorum. Değişik alternatif ler deniyorum ama FPGA ile ARM7 leri kıyaslamıyorum bile. Çünkü olayları çok farklı.
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: salih18200 - 20 Nisan 2011, 23:49:03
Modelsim simülasyon programı ile vhdl yada verlog kodlarınızı simüle edebilirsiniz ilk etapta.
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: Cetinal - 21 Nisan 2011, 00:05:16
alteranın Quartus adlı programı gayet hoş ..

Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: EMG81 - 21 Nisan 2011, 00:40:40
Yanıtlar için çok teşekkür ederim. Yarın hepsini incelicem.
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: salih18200 - 21 Nisan 2011, 17:52:24
http://www.cizgi-tagem.org/e-market/product.aspx?key=altera-de0-nano
şunu bir incele 69$+KDV=124 TL Ayrıca ücretsiz kargo..
(http://www.cizgi-tagem.org/resource/vfiles/tagem/pms_product/511/image_61_thumb.jpg)
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: CoşkuN - 21 Nisan 2011, 18:21:49
ARM7 öğrenelim felan denilmişken artık ARM7'nin eskidiğini ve yerine Cortex mimarisinin geçmeye başladığını da hatırlamakta fayda var. ARM kendi sitesinde bir artık ARM7 mimarisinin yeni tasarımlarda kullanılmamasını tavsiye etmiş: http://www.arm.com/products/processors/classic/arm7/index.php
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: electronious - 30 Haziran 2011, 14:34:56
Merhaba arkadaşlar,

Konu başlığında geçtiği gibi FPGA öğrenmek için kolları sıvadım ve öncelikle VHDL öğreneyim dedim. VHDL kodlarını yazıp derleyip simüle edebileceğim program arıyorum. google dan aradım taradım birkaç program buldum. Fakat VHDL dilini yakın zamanda altera fpga'larında kullanacak ilerisinde de çok yönlü kullancak bir insan olarak sizin tecrübelerinize dayanarak hangi programı kullanabilirim? Temel VHDL kodlarını derleyip simüle edeceğim program isimleri bekliyorum.

Herkese iyi çalışmalar...
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: salih18200 - 30 Haziran 2011, 16:07:10
modelsimden şaşma!!

http://www.model.com/ (http://www.model.com/)
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: electronious - 30 Haziran 2011, 16:29:10
teşekkür ederim. farklı düşünceleri de bekliyorum :)
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: electronious - 30 Haziran 2011, 17:05:28
anladığım kadarıyla modelsim de simülasyon yapmak için test bench yazmak gerekiyomuş. bu iş çok uğraştırır gibi duruyor. acaba daha basit bir yoldan simüle edebileceğim bir program yok mu?
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: Klein - 30 Haziran 2011, 21:17:17
Alıntı yapılan: FxDev - 19 Nisan 2011, 17:25:16
- Verilog veya VHDL farketmez, bir dil öğrenin, şematik hazırcılığına kaçmayın. Ben koyu VHDL'ciyim.

Fpga hiç kullanmadım ama arada bir bu başlığı takip ediyorum. Zaman bulursam kıyısından köşesinden bakmayı düşünüyorum.

Bana sanki programlama dili ile yazmak daha kolaycılıkmış gibi geliyor.  Düşünsenize herhangi bir dil ile yazdığımız bir programı şematik olarak ifade etmeye çalıştığımızı!


Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: salih18200 - 30 Haziran 2011, 21:57:59
modelsim de yazdığınız vhdl kodu direkt olarak derleyip simüle edebilirsiniz. Testbench'e gerek yok ilk etapta ben vhdl iyi bilirdim zamanında hiç testbench yazmadım. Bence modelsim iyi bir seçim olur.
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: Ersin - 30 Haziran 2011, 22:09:39
@electronious
basit bişey istiyorsan aç proteus u yukle bir tane 16f628 aç rengarek osiloskopları, analyzerları bak keyfine .

@klein
Adamlar yapmış quartus yada ise de aç RTL Viewer yaptıgın vhdl verilog kodlarını şematik olarak görebilirsin.
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: electronious - 01 Temmuz 2011, 10:48:58
anlaşılan testbench işine gireceğiz. cevaplar için herkese teşekkür ederim.
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: emekli - 06 Eylül 2011, 15:25:14
arkadaşlar formda yeniyim 10-15 gündür cpld fpga kitleri benim gibi amatör insanları çezbediyor. ben tam olarak fpga larla ne yapılabileceğini anlamadım cahilliğimi bağışlayın; benim anladığım fpga gürüntü işlerinde kullanılacak sistemler bunlarla otomasyon(robot)kontrolü yapılabilirmi?
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: fatihkuzucu - 06 Eylül 2011, 15:33:52
EMG ye sor bakalım ne ögrenmiş bu kadar zaman sonra...
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: teknikelektronikci - 06 Eylül 2011, 20:31:37
Alıntı yapılan: emekli - 06 Eylül 2011, 15:25:14
arkadaşlar formda yeniyim 10-15 gündür cpld fpga kitleri benim gibi amatör insanları çezbediyor. ben tam olarak fpga larla ne yapılabileceğini anlamadım cahilliğimi bağışlayın; benim anladığım fpga gürüntü işlerinde kullanılacak sistemler bunlarla otomasyon(robot)kontrolü yapılabilirmi?

nedense fpga cpld cok büüyk isler icin gibi gözükyüor evet dogru bir bakima ama calisitigim firma 2x16 lcd 16 giris cikisi olan bir akrt icin bile cpld kullaniyor
otomasyonda kullanilabilinirmi demisiniz tabiki aksine bence otomasoynda kullanilmali cünkü fpga gürültüden cok az etkileniyor ayrica mikroislemcilere göre daha hizli fakat programlamasi mikroislemciye göre daha zor ( bana göre) cünkü mantik burda program yani yazilim yazmak degil donanim programlamak dir ayrica cpld ve fpga lar benimde tam anlam veremediigm hala paralel seri calisma durumu var bunu forumda bir ustamiz cok güzel aciklamisdi gerektiginde linkde gönderebilirim birde cpld ve fpga larin malaesef mikroislemcielr gibi cevrebirimleri yok yani adc dac pwm uart vs ama size sunu söyliyeyim fpga veya cpld nin hizini farkini görmeye basladiginizda hayran kalacaginizdan eminim cizgi tagem e bir göz atin uygun fiyatli kitler mevcut kolay gelsin
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: Kadir Can(16F84) - 06 Eylül 2011, 20:45:39
Alıntı yapılan: emekli - 06 Eylül 2011, 15:25:14
Ben tam olarak fpga larla ne yapılabileceğini anlamadım.
İstediğinizi yapabilirsiniz.
Sonuçta lojik kapıları diziyorsunuz ve hız limitiniz yok denecek kadar az, hatta hiç yok.
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: emekli - 06 Eylül 2011, 20:56:12
teşekkür ederim
Başlık: Ynt: FPGA Öğreniyorum.!
Gönderen: pic365 - 18 Eylül 2011, 02:01:40
FPGA'ler en çok telekomünikasyon sektöründe kullanılıyor. Diğer yandan FPGA'i en çok gerekli kılan durumlardan biri de ihtiyaç duyduğunuz I/O pini sayısının fazla olduğu durumlar. Xilinx'in Virtex-7 serisinde I/O pini sayısı 1200'e kadar çıkabiliyor.