Picproje Elektronik Sitesi

DİJİTAL & ANALOG ELEKTRONİK => Pld, Spld, Pal, Gal, Cpld, Fpga => Konuyu başlatan: kurumahmut - 08 Nisan 2006, 18:00:10

Başlık: FPGA ve VHDL hakkında türkçe kaynak
Gönderen: kurumahmut - 08 Nisan 2006, 18:00:10
FPGA ve VHDL konularında bilgilendirme yapan 21 sayfalık bir yazı.

Bazı şekiller yok sanırım. Ama hoş bir yazı...


OTOMASYON dergisinde 2004 de yayınlanan ve http://www.bilesim.com.tr de de yayınlanan bir araya getirip edit ettiğim bi kaynak.

Winrar 3.51 ile şifrelendi ve Adobe 5 ve üzeri sürümler için uyumludur.

http://rapidshare.de/files/17496590/FPGA_ve_VHDL_nedir.rar.html
RAR password: www.picproje.com
Başlık: FPGA ve VHDL hakkında türkçe kaynak
Gönderen: aster - 08 Nisan 2006, 18:51:18
Vardiğiniz bilgiler için teşekkürler
bu tür kaynaklara ihtiyacımız var, varsa devamını sabırsızlıkla bekliyoruz
Başlık: FPGA ve VHDL hakkında türkçe kaynak
Gönderen: Subzero - 08 Nisan 2006, 18:58:49
Ben de bunları merak ediyordum, sağol.
Başlık: FPGA ve VHDL hakkında türkçe kaynak
Gönderen: byka - 23 Ağustos 2006, 02:33:11
dostlar dosya silinmiş tekrar upload yapabilecek varmı?
Başlık: FPGA ve VHDL hakkında türkçe kaynak
Gönderen: Veli B. - 23 Ağustos 2006, 09:39:06
http://www.hemenpaylas.com/download/1415660/FPGA_ve_VHDL_nedir.rar.html (http://www.hemenpaylas.com/download/1415660/FPGA_ve_VHDL_nedir.rar.html)

Pass aynen geçerli.
Başlık: FPGA ile ilgili türkçe döküman
Gönderen: alicavuslu - 10 Ekim 2006, 14:11:28
YSA Eğitimini FPGA ile Donanımsal olrak gerçeklenmesi hakkında yaptığım tezi sizlerle paylaşmaka istedim umarım işinize yarar

http://www.cihankarakuzu.com/uploadedFiles/tez.pdf
Başlık: FPGA ile ilgili türkçe döküman
Gönderen: alicavuslu - 10 Ekim 2006, 14:13:58
YAPAY SİNİR AĞI EĞİTİMİNİN IEEE 754 KAYAN NOKTALI SAYI
FORMATI ile FPGA TABANLI GERÇEKLENMESİ konu başlıklı makeleyide yollayayım size

http://rapidshare.de/files/36198123/CAVUSLU.pdf.html
Başlık: FPGA ile ilgili türkçe döküman
Gönderen: alicavuslu - 10 Ekim 2006, 14:15:21
HIZLI FOURİER VE TERS HIZLI FOURİER DÖNÜŞÜMLERİNİN
FPGA'DA DONANIMSAL OLARAK GERÇEKLENMESİ bu makaleyide paylaşayım sizinle

http://rapidshare.de/files/36198255/ELECO_ILGAZ.pdf.html
Başlık: FPGA ile ilgili türkçe döküman
Gönderen: alicavuslu - 10 Ekim 2006, 14:17:17
Akıllı Anten Algoritmalarının IEEE 754 Kayan Sayı Formatı ile FPGA
Tabanlı Gerçeklenmesi ve Performans Analizi

buda çalıştıım son makale

http://rapidshare.de/files/36198413/malicavuslu_ursi2006.pdf.html
Başlık: FPGA ile ilgili türkçe döküman
Gönderen: alicavuslu - 10 Ekim 2006, 14:19:42
Bunda da FPGA programalmak için yazzılımın kurlumu ve küçük örnekle similasyonla birlikte gösterimi yapılmıştı
Belki buda işinize yarar

http://rapidshare.de/files/36198561/sayhab.pdf.html

Çalışmalrınızda başarılar........
Başlık: FPGA ve VHDL hakkında türkçe kaynak
Gönderen: microman - 31 Ekim 2006, 12:51:29
selam arkadaşlar. verdiğiniz linklerin çoğu kapanmış. sizden ricam elinizde olan bütün türkçe dökümanları tekrar bir araya getirip , paylaşıma sunmanız. benim gibi fpga teknolojisini bilmeyen ve öğrenmek isteyenler için oldukça faydanız dokunacaktır.
Başlık: FPGA ve VHDL hakkında türkçe kaynak
Gönderen: alicavuslu - 01 Kasım 2006, 00:08:01
Tez linki hala açık. Makaleleri tek linkte yolluyorum.


Çalışmalrında başarılar dilerim.

http://rapidshare.com/files/1475615/Makaleler.rar.html
Başlık: FPGA ve VHDL hakkında türkçe kaynak
Gönderen: CoşkuN - 28 Kasım 2006, 08:26:19
Türkçe VHDL ders notları http://tef.kou.edu.tr/elektronikvebilgisayar/Akademik/yselim.htm
Başlık: FPGA ve VHDL hakkında türkçe kaynak
Gönderen: KORHAN - 11 Aralık 2006, 18:31:29
Selamlar
CoskuN son gönderdiğin bu mesajındaki link bir harikaydı arkadaşım
Linkteki hocadan konu ile ilgili Verilog öğretici Türkçe döküman ve kitap isimleri istedim hoca henüz bana dönmedi.belki vakit bulamadı.bir süre sonra tekrar mesaj göndereceğim.sen yinede verilog ve systemverilog hakkında Türkçe kaynağa rastlarsan özellikle verilog dilini öğretici kaynaklara burada yayınlarsan sevinirim.
Sevgiler,Saygılar.
Başlık: lnk bozuk
Gönderen: dalavi - 14 Aralık 2006, 23:45:00
selam coskun,
sanirim link bozulmus,
mümkünse ilgilenebilirmisin?
sağol.
Başlık: FPGA ve VHDL hakkında türkçe kaynak
Gönderen: kurumahmut - 15 Aralık 2006, 11:55:15
web sayfasının index.html değişmiş. Bu nedenle klasörden gidin...

http://tef.kou.edu.tr/elektronikvebilgisayar/Akademik/yselim/
Başlık: FPGA ve VHDL hakkında türkçe kaynak
Gönderen: Niyazi_SARAL - 07 Mart 2008, 11:44:38
http://elearning.cizgi.com.tr/vhdl.aspx
Başlık: FPGA ve VHDL hakkında türkçe kaynak
Gönderen: sulouzun - 19 Mayıs 2008, 00:01:45
arkadaşlar ben de XİLİNX CPLD ve FPG ile 1 yılı aşkındır ugraşmaya çalışıyom ama hala acemiyim çok ilgilenemiyorum okul derslerim ve diğer sınavlar yüzünden(KPSS,ALES,ÜDS,...) verdiğiniz bu bilgiler çok işime yarayacak...
bende sizlere faydalı olacağını düşündüğüm dökümanlar bulursam sitede yayınlarım...
bilgi paylaştıkça çoğalır.......herkes bilgiyi paylaşmalı yoksa paylaşılmayan bilginin ne değeri vardır ki....
tekrar teşekkürler..... :lol:
Başlık: FPGA ve VHDL hakkında türkçe kaynak
Gönderen: 707yunus - 03 Ağustos 2008, 14:25:52
arkadaşlar en üssteki dosyanın linki kırık arkadaşlarda hemenpaylaşa atmışlar.fakat bn hemenpaylaş tan şu ana kadar bi türlü dosya indiremedim.rapide atarsanız veya hemenpaylaş tan nasıl indirildiğini gösterirseniz sevinirim.
Başlık: merhaba
Gönderen: ersa1905 - 11 Ocak 2009, 12:37:50
arkadaşlar özellikle ali çavuşlu seninle paylasımın konusunda görüşebilirmiyiz...paylastıkların çok güzel ama linkler ölmüş:-(
spartan 3e ile ilgili kaynak döküman ne vaarsa paylasırmısınız
Başlık: FPGA ve VHDL hakkında türkçe kaynak
Gönderen: ersa1905 - 16 Ocak 2009, 18:28:33
kimse yokmu ????
Başlık: FPGA ve VHDL hakkında türkçe kaynak
Gönderen: alicavuslu - 17 Ocak 2009, 14:44:30
makaleler ve dokumanları sitemde bulabilirsin. ayrıca soru sormak istersen bana mail atabilirsin.

www.alicavuslu.com
alicavuslu@yahoo.com
Başlık: FPGA ve VHDL hakkında türkçe kaynak
Gönderen: ersa1905 - 18 Ocak 2009, 01:22:27
çok teşekkür ederim abi...