Picproje Elektronik Sitesi

FORUM HAKKINDA => Seminer, Kurs, Yarışma Fuar Duyuruları => Konuyu başlatan: muuzoo - 23 Temmuz 2015, 22:32:09

Başlık: Picproje FPGA Eğitimi Hakkında Bilgiler
Gönderen: muuzoo - 23 Temmuz 2015, 22:32:09
Alıntı yapılan: usahin - 23 Temmuz 2015, 19:18:57
http://numato.com/fpga-boards/xilinx.html (http://numato.com/fpga-boards/xilinx.html)

Bu adresteki kartlarda akademik indirimim var, Elbert v2'yi 21 dolardan Mimas v2'yi 35 dolardan temin edebiliyorum.  Biraz daha bütçe dostu gibi FPGA hakkında fazla bilgim olmadığı için önerdiğim kartlar eğitim için uygun mu bilmiyorum yardımcı olmak istedim sadece :D

Verdiğiniz linkteki kartlar Xilinx ISE Design Suite ile kullanılabilir. Son srümü 14.7 fakat Xilinx yeni bir programa geçti Vivado Design Suite diye ve ISE sürümününe destek vermiyor. Temel şeyler elbette aynı olacaktır ISE ve Vivado üzerinde. Fakat güncel olanı takip etmek adına anlatımlar Vivado Design Suite üzerinden yapılacaktır. Halihazırda elinde bu önceki seri kartlar olanlar ISE kullanarak da eğitime katılabilirler ama öncelikli olarak tercihimiz Vivado. Bir de birden farklı türde kart olunca o adımları kartı kullanan arkadaşların tolere etmesi gerekecek.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: z - 24 Temmuz 2015, 11:17:27
Gayet güzel bir etkinlik.

Fakat düşüncemi sorarsanız;

Doğrudan FPGA değilde ilk önce CPLD kiti ile çalışma yapılmalı. Hem bu çok daha ucuza gelecektir.

Kursiyerlerin çoğu FPGA öğrendikten sonra pratikte uygulama yapmayacaklar. Daha doğrusu satılır ürünlerinde FPGA kullanmayacaklar. Fakat CPLD yi peynir ekmek gibi kullanabilirler.

CPLD eğitimi ardından talep olursa gene FPGA eğitimi yapabilirsiniz.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: muuzoo - 24 Temmuz 2015, 11:37:36
Alıntı yapılan: engerex - 24 Temmuz 2015, 11:06:06
Kitte dahili programlayıcı mevcut mu yoksa harici bir programlayıcı gerekiyor mu?

Önerilen kit (BASYS3) üzerinde programlayıcı mevcut. Kit doğrudan USB üzerinden beslenebiliyor. İstenirse harici besleme yapmak da mümkün. Çalıştırmak ve programlamak için bir USB kablosu yeterli. Aynı kısım aynı zamanda  UART olarak da çalışıyor.

mesaj birleştirme:: 24 Temmuz 2015, 11:44:56

Alıntı yapılan: z - 24 Temmuz 2015, 11:17:27
Gayet güzel bir etkinlik.

Fakat düşüncemi sorarsanız;

Doğrudan FPGA değilde ilk önce CPLD kiti ile çalışma yapılmalı. Hem bu çok daha ucuza gelecektir.

Kursiyerlerin çoğu FPGA öğrendikten sonra pratikte uygulama yapmayacaklar. Daha doğrusu satılır ürünlerinde FPGA kullanmayacaklar. Fakat CPLD yi peynir ekmek gibi kullanabilirler.

CPLD eğitimi ardından talep olursa gene FPGA eğitimi yapabilirsiniz.

@z hocam zaten her ikisi için de VHDL ile tasarım yapılabiliyor. Biraz daha yetenekli bir kit ile daha esnek olunur diye düşündük.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: Icarus - 24 Temmuz 2015, 12:39:28
Alıntı yapılan: z - 24 Temmuz 2015, 11:17:27
Kursiyerlerin çoğu FPGA öğrendikten sonra pratikte uygulama yapmayacaklar.
+1 Aynen.
Zaten FPGA kitide anlamsız. Çünkü FPGA'a ihtiyaç yok. "Led yak söndür" neden böyle bişi yapalım ki ? stm32, LPX hatta PIC alır koyarım :D
Öyle uygulamalar seçeceksiniz ki yüksek hızlı, yüksek bandgeliştiği olan. FPGA'li dizayn kullanılabilir bir alternatif olacak.
Bu tip dizaynlar sadece kodlama değil. X dili ile yazdım. Hem orada hem burada çalışır... Bu C++ için geçerli bir laf
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: alicavuslu - 24 Temmuz 2015, 13:05:48
Alıntı yapılan: Icarus - 24 Temmuz 2015, 12:39:28
+1 Aynen.
Zaten FPGA kitide anlamsız. Çünkü FPGA'a ihtiyaç yok. "Led yak söndür" neden böyle bişi yapalım ki ?

Anlaşılan eğitimde neler anlatılacağını ve yapılacağını çok iyi biliyorsunuz...
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: Icarus - 24 Temmuz 2015, 13:09:51
Alıntı yapılan: alicavuslu - 24 Temmuz 2015, 13:05:48
Anlaşılan eğitimde neler anlatılacağını ve yapılacağını çok iyi biliyorsunuz...
Alınacak Kit link'i forumda var. Sizde bakabilirsiniz.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: alicavuslu - 24 Temmuz 2015, 13:15:15
Daha öncede paylaştığım eğitime ithamda da bulunmuştun. Bu sefer eğitimi ben vereceğim. Bunu biliyor musun?

Led yakıp söndürtmek gibi de bir derdim yok. Temelde zaten bunlar yapılacak şeyler. Gelecek kişi kendi bunu çözer. Fırsatım ve vaktim olursa emin olabilirsin ki işin ucu üst düzey görüntü işlemeye kadar gidecek. Bunlar neler De-interlacer, Wavelet, Öznitelik Çıkarımı,  Kriptolma, YSA, Sayı Formatları, Filtre Uygulamaları, Matlab ile ön analizler vs.

Bordu da arkadaşlara ben önerdim. Bunu açıkça belirteyim...
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: muuzoo - 24 Temmuz 2015, 13:42:42
Alıntı yapılan: Icarus - 24 Temmuz 2015, 12:39:28
+1 Aynen.
Zaten FPGA kitide anlamsız. Çünkü FPGA'a ihtiyaç yok. "Led yak söndür" neden böyle bişi yapalım ki ? stm32, LPX hatta PIC alır koyarım :D
Öyle uygulamalar seçeceksiniz ki yüksek hızlı, yüksek bandgeliştiği olan. FPGA'li dizayn kullanılabilir bir alternatif olacak.
Bu tip dizaynlar sadece kodlama değil. X dili ile yazdım. Hem orada hem burada çalışır... Bu C++ için geçerli bir laf

@Icarus hocam. Evet led yakıp söndürmek v.b. ugulamalar çok hafif şeyler. Ama çoğumuz MCU programlamaya o şekilde başlamadık mı? İlle performans gerektiren bişi olsun diye görüntü işleme v.b. işlerden mi başlayalım anlatmaya. Bu eğitim merak edenler için bir altyapı olacak. Ondan sonra kullanır, kullanmaz, ilerletir ilerletmez kişinin bileceği iş. Kursa katılanların bir çoğu kullanmayacak belki ama siz de sırf merak ettiğiniz için bir şeyler öğrenmediniz mi hiç?
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: MrDarK - 24 Temmuz 2015, 14:29:39
Alıntı yapılan: Icarus - 24 Temmuz 2015, 12:39:28
+1 Aynen.
Zaten FPGA kitide anlamsız. Çünkü FPGA'a ihtiyaç yok. "Led yak söndür" neden böyle bişi yapalım ki ?

Icarus;
Katılmayacağın bir etkinlik için mesaj yazma gafletinde bulunmana gerek yok. Picproje forumu tarafından ne zaman bir uygulama aktivite yapılacak olsa senin olumsuz mesajların çok oluyor bilmem farkında mısın ? Acaba çok mükemmel etkinlikler ve çalışmalar yaptın da haberimiz mi yok ? Bu tarz yaklaşımlar mevcut çalışmalara zarar verebilir. Özelden devam etmeyi öneririm.

Bilmeyenler için ekleyeyim; bu eğitim tamamen bağımsız bir şekilde anket yapılarak üyelerimiz tarafından seçildi ve sıralandı.(Demek ki başka insanların gözünde FPGA'e ne gerek var diye bir soru yok) İlgili başlıklarda yorum yapmayayıp ilerlemeye çalışan projelerde insanların kafasında soru işareti üretmeye gerek yok. Öğrenmek dinlemek farkında olmak isteyen gelir gelmeyen keyfi bilir. Bu yüzden lütfen yapıcı eleştirilerle gelin. Bu platformun ücretsiz olduğunu insanların kendi emekleri ve özverileri ile geliştiğini idrâk edebildiğimizde yapıcı eleştiri yapacağız sanırım.

Hatırlamak isteyenler için Anket linki aşağıdadır.
https://www.picproje.org/index.php/topic,59454.0.html (https://www.picproje.org/index.php/topic,59454.0.html)

@alicavuslu , @muuzoo ve şu anda bu kitlerin fiyatlarını aşağı çekmeye çalışan projelerimize verilen destekler için herkese teşekkür ederim.

Dipnot = Bu sefer eğitimi (inşallah) canlı yayınlamayı düşünüyoruz. Bunun için uğraşacağım. İstanbul dışındaki arkadaşlar üzülmesin :)
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: tekosis - 24 Temmuz 2015, 14:43:56
ben bu kiti şu an alamayacağım ancak eğitimleri takip etmek istiyorum. acaba hangi ortamda ve nasıl yapılacak?

Alıntı yapılan: MrDarK - 24 Temmuz 2015, 14:29:39Dipnot = Bu sefer eğitimi (inşallah) canlı yayınlamayı düşünüyoruz. Bunun için uğraşacağım. İstanbul dışındaki arkadaşlar üzülmesin :)

şimdi gördüm :)
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: fbkaya - 24 Temmuz 2015, 14:48:38
led yak-söndür işlemini neden hafife alıyorsunuz ? fpga'ya bir hakaret mi olarak görüyorsunuz ? eğer öyle ise led yak-söndür en ucuz pic'e dahi hakarettir. çünkü led söndür-yak için pic'e dahi ihtiyaç yoktur. pil,buton ve direnç ile de bir led yakılıp söndürülebilir. Artık bunu ilk okulda yapıyorlar zaten.
Icarus hocam, anladığım kadarı ile fpga'nın ziyan olma gibi kaygılarınız var. Ali hocam  "Led yakıp söndürtmek gibi de bir derdim yok. Temelde zaten bunlar yapılacak şeyler. Gelecek kişi kendi bunu çözer. Fırsatım ve vaktim olursa emin olabilirsin ki işin ucu üst düzey görüntü işlemeye kadar gidecek. Bunlar neler De-interlacer, Wavelet, Öznitelik Çıkarımı,  Kriptolma, YSA, Sayı Formatları, Filtre Uygulamaları, Matlab ile ön analizler vs."  demiş. Şahsen bunları öğrenmek bana çok şey katar. Forumdaki birçok arkadaşa da birşeyler katacağına eminim.



mesaj birleştirme:: 25 Temmuz 2015, 04:51:21

Bir şey sormak istiyorum. Canlı yayınlancak videolara sonradan erişebilme gibi bir imkanımız da olacak mı ? Ve tarihi aşağı yukarı belli mi ? İnternet'e erişme gibi sıkıntılarım var ve fpga kitini hemen alamayabilirim.

mesaj birleştirme:: 25 Temmuz 2015, 04:58:23

birşey daha sormak istiyorum,
şu: http://www.dr.com.tr/Kitap/Her-Yonuyle-FPGA-ve-VHDL/Sedat-Karatas/Egitim-Basvuru/Bilgisayar/urunno=0000000546241 (http://www.dr.com.tr/Kitap/Her-Yonuyle-FPGA-ve-VHDL/Sedat-Karatas/Egitim-Basvuru/Bilgisayar/urunno=0000000546241)
veya şu: http://www.dr.com.tr/Kitap/VHDL-ile-Sayisal-Tasarim-ve-FPGA-Uygulamalari/Mehmet-Ali-Cavuslu/Egitim-Basvuru/Bilgisayar/urunno=0000000637002 (http://www.dr.com.tr/Kitap/VHDL-ile-Sayisal-Tasarim-ve-FPGA-Uygulamalari/Mehmet-Ali-Cavuslu/Egitim-Basvuru/Bilgisayar/urunno=0000000637002)

kitap yardımcı olabilir mi ?

Teşekkürler.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: MrDarK - 24 Temmuz 2015, 15:18:24
Alıntı yapılan: fbkaya - 24 Temmuz 2015, 14:48:38


mesaj birleştirme:: 25 Temmuz 2015, 04:51:21

Bir şey sormak istiyorum. Canlı yayınlancak videolara sonradan erişebilme gibi bir imkanımız da olacak mı ? Ve tarihi aşağı yukarı belli mi ? İnternet'e erişme gibi sıkıntılarım var ve fpga kitini hemen alamayabilirim.

fbkaya;
Tabiki sonradan erişebileceksin, Tarih konusunda 2-3 ay içinde kısmetse ilk eğitim yapılır.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: muuzoo - 24 Temmuz 2015, 15:32:20
Alıntı yapılan: fbkaya - 24 Temmuz 2015, 14:48:38
led yak-söndür işlemini neden hafife alıyorsunuz ? fpga'ya bir hakaret mi olarak görüyorsunuz ? eğer öyle ise led yak-söndür en ucuz pic'e dahi hakarettir. çünkü led söndür-yak için pic'e dahi ihtiyaç yoktur. pil,buton ve direnç ile de bir led yakılıp söndürülebilir. Artık bunu ilk okulda yapıyorlar zaten.
Icarus hocam, anladığım kadarı ile fpga'nın ziyan olma gibi kaygılarınız var. Ali hocam  "Led yakıp söndürtmek gibi de bir derdim yok. Temelde zaten bunlar yapılacak şeyler. Gelecek kişi kendi bunu çözer. Fırsatım ve vaktim olursa emin olabilirsin ki işin ucu üst düzey görüntü işlemeye kadar gidecek. Bunlar neler De-interlacer, Wavelet, Öznitelik Çıkarımı,  Kriptolma, YSA, Sayı Formatları, Filtre Uygulamaları, Matlab ile ön analizler vs."  demiş. Şahsen bunları öğrenmek bana çok şey katar. Forumdaki birçok arkadaşa da birşeyler katacağına eminim.



mesaj birleştirme:: 25 Temmuz 2015, 04:51:21

Bir şey sormak istiyorum. Canlı yayınlancak videolara sonradan erişebilme gibi bir imkanımız da olacak mı ? Ve tarihi aşağı yukarı belli mi ? İnternet'e erişme gibi sıkıntılarım var ve fpga kitini hemen alamayabilirim.

mesaj birleştirme:: 25 Temmuz 2015, 04:58:23

birşey daha sormak istiyorum,
şu: http://www.dr.com.tr/Kitap/Her-Yonuyle-FPGA-ve-VHDL/Sedat-Karatas/Egitim-Basvuru/Bilgisayar/urunno=0000000546241 (http://www.dr.com.tr/Kitap/Her-Yonuyle-FPGA-ve-VHDL/Sedat-Karatas/Egitim-Basvuru/Bilgisayar/urunno=0000000546241)
veya şu: http://www.dr.com.tr/Kitap/VHDL-ile-Sayisal-Tasarim-ve-FPGA-Uygulamalari/Mehmet-Ali-Cavuslu/Egitim-Basvuru/Bilgisayar/urunno=0000000637002 (http://www.dr.com.tr/Kitap/VHDL-ile-Sayisal-Tasarim-ve-FPGA-Uygulamalari/Mehmet-Ali-Cavuslu/Egitim-Basvuru/Bilgisayar/urunno=0000000637002)

kitap yardımcı olabilir mi ?

Teşekkürler.
Eğitim sırasında hazırlanacak dokümanlar katılanlar için yeterli olacaktır. Video ile takip edecekler ancak benzetim ortamında deneyebilirler. Ama benzetim ortamı proteus gibi değil daha farklı.

Elinizin altinda basili bir kaynak istiyorsaniz kitapçılarda inceleyip istediğiniz birini seçebilirsiniz.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: fbkaya - 24 Temmuz 2015, 16:49:59
Teşekkürler :)

@MrDarK @muuzoo 
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: Farukc - 24 Temmuz 2015, 22:01:37
Alıntı yapılan: MrDarK - 24 Temmuz 2015, 14:29:39
Dipnot = Bu sefer eğitimi (inşallah) canlı yayınlamayı düşünüyoruz. Bunun için uğraşacağım. İstanbul dışındaki arkadaşlar üzülmesin :)

Bilet almaya gerek kalmadı.. :D
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: MrDarK - 24 Temmuz 2015, 22:04:07
Alıntı yapılan: Farukc - 24 Temmuz 2015, 22:01:37
Bilet almaya gerek kalmadı.. :D

Bence sen yine de biletini al bilgisayardaki gibi etkili olacağını zannetmiyorum :) Epey sohbet havasında geçiyor başka satın almak isteyen bildirebilirse adını ekleyelim.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: Recep METE - 27 Temmuz 2015, 00:02:41
Arkadaşlar bu  yaptığınız eğitimin videolarını  daha sonra internette yayınlasanız  bizim gibi katılamayanlar için çok iyi olur.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: Niyazi_SARAL - 27 Temmuz 2015, 12:14:54
Alıntı yapılan: Recep METE - 27 Temmuz 2015, 00:02:41
Arkadaşlar bu  yaptığınız eğitimin videolarını  daha sonra internette yayınlasanız  bizim gibi katılamayanlar için çok iyi olur.

Evet bunu ben de defalarca söyledim. Örnek olarak da bizim http://www.cizgi-tagem.org/?course=gomulu-sistemler-ve-fpga-egitim-videolari (http://www.cizgi-tagem.org/?course=gomulu-sistemler-ve-fpga-egitim-videolari) adresindeki FPGA videolarını gösterdim. Binlerce kişi seyretti. Çok az bir emek gerekir belki ama sonucu şaşırtıcı olur.

Bu arada kitleri ekonomik olarak temin etmek için elimizden geleni yapacağız.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: Niyazi_SARAL - 27 Temmuz 2015, 14:53:36
Uzaktan eğitim sistemimizde yayınladığımız Çizgi Tagem'e ait tüm görsel eğitimler, videolar, teknik/teknolojik sunumlar, dokümanlar, simülasyonlar ve farklı formatlardaki tüm içerik ücretsizdir, istenildiği gibi kopyalanabilir, dağıtılabilir veya internet ortamında paylaşılabilir. Bazı eğitimlerimiz esas üreticinin izni ile Türkçe alt yazı sağlayarak düzenlenmiştir. Bu tarz eğitimlerimizin paylaşımı için esas üreticinin iznini almak gerekir.

Teknik olarak soruyorsanız evet örneğin 4kvideodownloader ile tüm youtube videolarını indirirsiniz.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: fbkaya - 27 Temmuz 2015, 15:22:23
Alıntı yapılan: Niyazi_SARAL - 27 Temmuz 2015, 14:53:36
Uzaktan eğitim sistemimizde yayınladığımız Çizgi Tagem'e ait tüm görsel eğitimler, videolar, teknik/teknolojik sunumlar, dokümanlar, simülasyonlar ve farklı formatlardaki tüm içerik ücretsizdir, istenildiği gibi kopyalanabilir, dağıtılabilir veya internet ortamında paylaşılabilir. Bazı eğitimlerimiz esas üreticinin izni ile Türkçe alt yazı sağlayarak düzenlenmiştir. Bu tarz eğitimlerimizin paylaşımı için esas üreticinin iznini almak gerekir.

Teknik olarak soruyorsanız evet örneğin 4kvideodownloader ile tüm youtube videolarını indirirsiniz.

Ben şunları soruyorum http://transfer.cizgitagem.org/nsaral/tagem_new/fpgaprograming/ (http://transfer.cizgitagem.org/nsaral/tagem_new/fpgaprograming/).
çok hoşuma gittiler. Youtube videoları değiller. Adobe presenter diye açılıyorlar, flash gibiler. İnternete her daim ulaşamıyorum, o yüzden soruyorum hocam.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: sovalye - 01 Ağustos 2015, 00:18:49
Mesajlar uçmuş. Kirliği azaltmak için temizlik mi yapıldı, yoksa bir sıkıntı mı çıktı (fiyatın yazıldığı mesajda yok olmuş)?
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: muhendisbey - 01 Ağustos 2015, 20:45:31
Soru: VHDL mi Verilog mu anlatacaksınız? Sorularda aradım ancak görememiş olabilirim. Teşekkürler.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: alicavuslu - 01 Ağustos 2015, 21:15:25
Alıntı yapılan: muhendisbey - 01 Ağustos 2015, 20:45:31
Soru: VHDL mi Verilog mu anlatacaksınız? Sorularda aradım ancak görememiş olabilirim. Teşekkürler.

Hocam VHDL dili anlatılacaktır. Genel olarak ilk eğitimde VHDL dilinin tanıtımını yaptıktan sonra benzetim uygulamaları yapmayı planlıyoruz. Amacımız bu eğitimler sonucunda arkadaşların FPGA ile programlamanın neden gerekli olduğunu anlamaları ve belirli temel uygulamalar (led yakma değil) gerçekleştirilecektir. 1. Eğitimde istediğimiz seviyeye ulaşamaz isek eğitimi 3'e çıkarabiliriz. Anlatım ve planlamalarımızı arkadaşlar için eğitiminin verimli geçmesine yönelik yapmaktayız.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: muhendisbey - 01 Ağustos 2015, 21:36:13
VHDL ile ilgili İngilizce kaynaklar (E book) mevcut elimde dilerseniz paylaşabilirim. Sağlam kaynaklar, yurt dışında para karşılığı satılan kitapların e-kitap hali.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: alicavuslu - 01 Ağustos 2015, 21:37:58
Çok iyi olur Hocam. Teşekkürler. VHDL hakkında bilgi edinmek isteyenler eğitimi beklemeden de faydalanabilirler...
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: muhendisbey - 02 Ağustos 2015, 00:32:45
VHDL ile ilgili kütüphanemdeki kaynaklar. Şifre:www.picproje.org
https://www.dropbox.com/s/lpjnb2knuzz6kfq/VHDL.rar?dl=0 (https://www.dropbox.com/s/lpjnb2knuzz6kfq/VHDL.rar?dl=0)

http://www.digilentinc.com/showcase/contests/designcontest.cfm?ContestID=8 (http://www.digilentinc.com/showcase/contests/designcontest.cfm?ContestID=8)
Bu linkte FPGA ile yapılmış projeler var. Belki ilginizi çekebilir.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: Farukc - 04 Ağustos 2015, 09:59:50
Neden VHDL?

Verilog ile VHDL arasındaki farklar nelerdir?
Syntax bakımından hangisi pratiktir?

Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: muuzoo - 04 Ağustos 2015, 11:53:44
Alıntı yapılan: Farukc - 04 Ağustos 2015, 09:59:50
Neden VHDL?

Verilog ile VHDL arasındaki farklar nelerdir?
Syntax bakımından hangisi pratiktir?



*Neden VHDL sorusu aslında biraz zor bir soru çünkü vereceğim cevaplar biraz şahsi olacak. Önce kısaca farklarını yazayım:
- VHDL ilk olarak Amerkan Savunma Bakanlığı tarafından geliştiriliyor ve 1987 yılında IEEE 1076 olarak standartlaştırılıyor.
- Verilog özel iştirak. İlk olarak "Gatewat Design Automation" firması tarafından geliştiriliyor. Daha sonra Cadence bu firmayı satın alınca 1990 yılında genel kullanıma açıyor. 1995'te IEEE 1364 olarak standartlaştırılıyor.

- VHDL standartlaştıktan sonra çeşitli güncellemeler aldı. VHDL-87, VHDL-93, VHDL-200X (sanırım sonradan 2008 olarak güncellendi).
- Verilog da aynı şekilde Verilog-95, Verilog-2001 ve Verilog-2005 şeklinde güncellendi.

- VHDL yazım şekli ve tarz olarak ADA diline yakın.
- Verilog ise daha çok C diline benzer şekilde bir yazıma sahip.

- VHDL "strongly typed" bir dildir. Data tiplerine daha fazla dikkat edilmesi gerekmektedir.
- Verilog "weakly typed" bir dildir.

- VHDL'de hata yakalamak daha kolaydır (şahsi görüş), ama bazen de kod yazarken saç baş yoldurur, görece basit atamalar için fazladan kod yazmak gerekir. O yüzden VHDL kodları Verilog kodlarına göre daha kalabalıktır.
- Verilog ise yazım açısından daha rahattır ama yazan kişinin daha dikkatli olması gerekir. VHDL "hop burda hata var bu atamayı yapamazsın der, Verilog ise ,yazanın bir bildiği var der yapar :)

Ben şahsen ikisinde de kod yazmış biri olarak VHDL kullanmayı tercih ediyorum. Daha katı olduğu için kod yazarken yaptığım hatayı bulması daha kolay oluyor. Dediğim gibi şahsi bir tercih. Bir başkası kendini Verilog üzerinde daha rahat hissedebilir. Geliştirme araçları zaten ikisini de destekliyor.

Özellikle şu pdf dosyası daha bilgilendirici olacaktır : http://www.ue.pwr.wroc.pl/pld/pld_12.pdf (http://www.ue.pwr.wroc.pl/pld/pld_12.pdf)

Örnek VHDL:

process (clock)
begin
  if clock = '1' and clock'event then
    counter <= counter + 1;
  end if;
end process;


Örnek Verilog

reg [upper:0] counter;

always @(posedge clock)
  counter <= counter + 1
end


=====================================================
D-FF Örneği

VHDL

process (clock)
  begin
    if clock'event and clock = '1' then
      q_out <= q_in;
    end if;
  end process;


Verilog

always @(posedge <clock>) begin
  q_out <= q_in;
end



(http://i.imgur.com/orudrUN.png)
(http://i.imgur.com/k4Oo4DF.png)
(http://i.imgur.com/R3w1Ly5.png)
(http://i.imgur.com/yZc148h.png)

(http://www.angelfire.com/in/rajesh52/images/verilogvhdl1.gif)


Şimdilik bu kadar arada güncellerim.

Kaynaklar:
https://en.wikipedia.org/wiki/VHDL (https://en.wikipedia.org/wiki/VHDL)
https://en.wikipedia.org/wiki/Verilog (https://en.wikipedia.org/wiki/Verilog)
http://www.angelfire.com/in/rajesh52/verilogvhdl.html (http://www.angelfire.com/in/rajesh52/verilogvhdl.html)
http://electronicdesign.com/what-s-difference-between/what-s-difference-between-vhdl-verilog-and-systemverilog (http://electronicdesign.com/what-s-difference-between/what-s-difference-between-vhdl-verilog-and-systemverilog)
http://www.ue.pwr.wroc.pl/pld/pld_12.pdf (http://www.ue.pwr.wroc.pl/pld/pld_12.pdf)
http://electronics.stackexchange.com/questions/16767/vhdl-or-verilog (http://electronics.stackexchange.com/questions/16767/vhdl-or-verilog)
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: Farukc - 04 Ağustos 2015, 14:23:33
Ben şuan verilog öğreniyorum nedenini bilmiyorum ama vhdlden bir türlü elektrik alamadım. Sanıyorum verilogdan vhdl'e geçiş pek sorun olmaz. Çünkü intternetteki örnek uygulamalara falan baktım çok benzerlik var.

(http://www.angelfire.com/in/rajesh52/images/verilogvhdl1.gif)

Yanlız bu şemayı anlayamadım. Mesela profesyonel bir sistem tasarımı yapsak veriog yeteli gelmeyecekmi? Yoksa grafiğimi yanlış yorumladım?
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: mtologlu - 04 Ağustos 2015, 14:48:26
Merhaba,

Acaba BASYS3 kitini sipariş ederken (sıcağı sıcağına ve ileride yeniden onay vb gerekmemesi için) başka sipariş edebileceğimiz yada sipariş vermemizde yarar olabilecek aksam vb. var mıdır? Örneğin FPGA programlamak için ek bir parça gerekir mi?

Ayrıca ileride reel denemeler yapmak için birkaç tane FPGA edinmek isterim.

Bu konuda bilgi alabilirsem sevinirim. Teşekkürler,
Murat Toloğlu
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: muuzoo - 04 Ağustos 2015, 14:56:30
Alıntı yapılan: Farukc - 04 Ağustos 2015, 14:23:33
Ben şuan verilog öğreniyorum nedenini bilmiyorum ama vhdlden bir türlü elektrik alamadım. Sanıyorum verilogdan vhdl'e geçiş pek sorun olmaz. Çünkü intternetteki örnek uygulamalara falan baktım çok benzerlik var.

(http://www.angelfire.com/in/rajesh52/images/verilogvhdl1.gif)

Yanlız bu şemayı anlayamadım. Mesela profesyonel bir sistem tasarımı yapsak veriog yeteli gelmeyecekmi? Yoksa grafiğimi yanlış yorumladım?

Yanlış yorumlamışsınız. Her iki dil de yeterli. Fark sınırlara doğru ortaya çıkıyor. VHDL tasarım aşamasından itibaren "sistem modelleme" amacıyla inşa edildiği için bu noktada bir tık önde ama bakarsanız ASIC transfer konusunda (gate level, transistor level) geride kalıyor. Buna karşın Verilog doğası gereki ASIC için daha yatkın. Verilog kodundan, araçlarla silikon seviyesine geçebiliyorsunuz.

Şu noktada bu farkı görecek kadar sınırda tasarım ve modelleme yapmıyoruz (kendim de yapmadım) o açıdan kafanızda bir soru işaret oluşmasına gerek yok. Kendinizi hangi dilde rahat hissediyorsanı o dilden devam edin.

VHDL-Verilog tartışması biraz şuna benziyor ; "Pepsi-Coca Cola" karşılaştırması gibi (internette görmüştüm, güzel benzetme)
http://www.bitweenie.com/listings/verilog-vs-vhdl/ (http://www.bitweenie.com/listings/verilog-vs-vhdl/)
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: muhendisbey - 05 Ağustos 2015, 17:17:49
Hocam yanlış olmasın, verilog bilgisayar tabanlı programlama bilenler için, VHDL ise daha çok elektronik tabanlı kişiler için daha kolay bir dil olarak duymuştum.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: MC_Skywalker - 07 Ağustos 2015, 21:08:39
Alıntı yapılan: fbkaya - 24 Temmuz 2015, 14:48:38

birşey daha sormak istiyorum,
şu: http://www.dr.com.tr/Kitap/Her-Yonuyle-FPGA-ve-VHDL/Sedat-Karatas/Egitim-Basvuru/Bilgisayar/urunno=0000000546241 (http://www.dr.com.tr/Kitap/Her-Yonuyle-FPGA-ve-VHDL/Sedat-Karatas/Egitim-Basvuru/Bilgisayar/urunno=0000000546241)
veya şu: http://www.dr.com.tr/Kitap/VHDL-ile-Sayisal-Tasarim-ve-FPGA-Uygulamalari/Mehmet-Ali-Cavuslu/Egitim-Basvuru/Bilgisayar/urunno=0000000637002 (http://www.dr.com.tr/Kitap/VHDL-ile-Sayisal-Tasarim-ve-FPGA-Uygulamalari/Mehmet-Ali-Cavuslu/Egitim-Basvuru/Bilgisayar/urunno=0000000637002)

kitap yardımcı olabilir mi ?

Teşekkürler.

Her Yönüyle FPGA ve VHDL kitabını aldım kitabın anlatmı iyi fakat ben tek başına çalışmayı beceremedim, hemde nakit paraya ihtiyacım olduğu için kitabı ve DE0 nanoyu sattım. kitabın yazarlarının sitesi http://fpganedir.com/ (http://fpganedir.com/)

Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: seyityildirim - 07 Ağustos 2015, 23:25:33
Her yönüyle FPGA ve VHDL kitabını ben de almıştım. okuldaki dersle birlikte ancak takip edebiliyordum. ben anlatım tarzını açıkcası sevmedim ama konu da az çok temeli olanın kavrayabileceği bir kitap.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: mtologlu - 13 Ağustos 2015, 21:26:33
5.08 tarihinde sorduğum soruya hala cevap alamadım Anladığım kadarıyla BASYS3 kitiiyle sadece kendi üzerindeki FPGA programlamabiliyor, kendi donanımızı yapacak olursak farklı bir FPGA programlayamayacağız. Doğru mu anlıyorum?
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: muuzoo - 14 Ağustos 2015, 14:04:39
Alıntı yapılan: mtologlu - 13 Ağustos 2015, 21:26:33
5.08 tarihinde sorduğum soruya hala cevap alamadım Anladığım kadarıyla BASYS3 kitiiyle sadece kendi üzerindeki FPGA programlamabiliyor, kendi donanımızı yapacak olursak farklı bir FPGA programlayamayacağız. Doğru mu anlıyorum?

Net bir cevabım yok, sadece olasılık var gibi. Kart dökümanına baktığımızda (http://www.digilentinc.com/Data/Products/BASYS3/Basys3_rm.pdf (http://www.digilentinc.com/Data/Products/BASYS3/Basys3_rm.pdf)) 4. sayfada JTAG yapısını vermiş. Normalde harici bir programlayıcıyı kullanabilmek için JTAG header bırakmışlar (JA portunun alt sırası). Oradan başka bir kartın harici girişine bağlantı yaparak görüp görmediğine bakılabilir sanki ama şemayı da bir incelemek lazım.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: muuzoo - 06 Eylül 2015, 00:58:01
Merhaba arkadaşlar,

Eğitim içeriği için şu şekilde bir taslak oluşturduk @alicavuslu ile beraber. https://drive.google.com/file/d/0B3OljfdwIkLOa01NRnNaWGhacnc/view?usp=sharing (https://drive.google.com/file/d/0B3OljfdwIkLOa01NRnNaWGhacnc/view?usp=sharing)
İnceleyip geri bildirim yaparsanız seviniriz.

Bir de gelecek arkadaşlardan ricam, eğitimin daha sağlıklı olabilmesi için  3-4 soruluk şu kısa anketi doldurmaları. Anket sonucuna göre eğitim içeriğini biraz daha şekillendireceğiz.

Anket : http://goo.gl/forms/tYFafakgkb (http://goo.gl/forms/tYFafakgkb)

Eğitim için @alicavuslu  ile beraber 17 Ekim tarihini düşünüyoruz ama @MrDarK gerekli görüşmeleri yaptıktan sonra tarih netleşecektir. Gelecek arkadaşların yanında kartları olursa eğitim daha verimli olacaktır. En azından temel örnek uygulamaları yapmış oluruz.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: mtologlu - 07 Eylül 2015, 13:20:46
Merhaba
Maalesef 14-19 Ekim şehir dışında olacağım, eğitim gününün 24 yada 31 Ekim olmasını çok arzu ederim ( olmazsa da sağlık olsun) bu konuda bir anket yapılması uygun olur Selamlar saygılar
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: ercan_t - 17 Eylül 2015, 13:14:40
merhaba,
Eğitimde kullanılacak yazılımlar, IDE isimleri  ve versiyonları vs belli mi?
Ben Xilinx ISE Design Suite  indirdim(10.1 , 14.5)
digilent basts 3 için hangi yazılımlar kullanılacak?
Kit almışken eğitim öncesi ön hazırlık yapmak istiyorum.

Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: alicavuslu - 17 Eylül 2015, 13:51:13
Merhabalar,

ISE yerine Vivado kullanılacaktır. En güncel versiyonu 2015.2. BASYS 3 kartında bulunan FPGA'yı ISE desteklememektedir.

Proje oluşturma için Youtube'da video mevcut. Reklam olur gerekçesi ile paylaşmak istemiyorum. İsteyen arkadaşlar bana özelden yazarlarsa video linkini kendilerine gönderebilirim.

Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: fryrmnd - 17 Eylül 2015, 21:43:37
Hocam Vivado indirmek için register oldum. Yok adres yok company...
Bu ücretsiz değil mi?
Yanlış yol mu izliyom?
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: sovalye - 17 Eylül 2015, 21:45:34
Webpack i ucretsiz indirebilirsiniz ,o alanlara bir seyler yazin yeter.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: fryrmnd - 17 Eylül 2015, 21:48:16
Tama hocam.
Anlaşılan webpack için de doldurcaz
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: Firzen - 17 Eylül 2015, 23:32:38
Alıntı yapılan: alicavuslu - 24 Temmuz 2015, 13:05:48
Anlaşılan eğitimde neler anlatılacağını ve yapılacağını çok iyi biliyorsunuz...

Hocam öncelikle merhabalar;
Anlatacağınız konulardan bahsetmişsinizde bende orta halli FPGA (VHDL) kullanıcısıyım. Acaba SPI,I2C,PWM gibi konulara girecek misiniz?
SPI ve I2C hakkında bir kaç kitaptan bilgi okudum ama pek verimli bilgiler alamadım PEDRONI hariç.
Bunun yanı sıra isterseniz PWM konusunda yazdığım kodu size gönderebilirim eğer derste işleyeceksiniz. Çünkü Benim gibi güç elektroniği ile uğraşanların en çok sevdiği kod PWM'dir.
Videolarım;

https://www.youtube.com/watch?v=UcU5utibPc0 (https://www.youtube.com/watch?v=UcU5utibPc0)
https://www.youtube.com/watch?v=Gwl1vLBDQsg (https://www.youtube.com/watch?v=Gwl1vLBDQsg)
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: alicavuslu - 18 Eylül 2015, 09:29:30
Merhabalar Hocam,

Oluşturduğumuz anketten çıkan genel kanı eğitimin başlangıç düzeyinde olmasına yönelik. SPI ve I2C için ileriye yönelik dokuman hazirlamaya başaldım. Bitince sizler paylaşabilirim.

PWM ile alakalı videolarınız gerçekten çok güzel. Kodu gönderebilirsiniz. İsterseniz daha generic ve kontrollü hale de getirebiliriz. Eğitim için de desteklerinizi her zaman bekleriz.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: MrDarK - 18 Eylül 2015, 09:44:54
Harika gelişmeler oluyor bu eğitim konusunda, forumda ilk defa bu kadar ekip olarak çalışılan bir proje oluyor bu.

Hayırlısı ile devam devam :) Ali hocam, muzaffer hocam öncülüğünüz için çok teşekkürler !
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: ercan_t - 18 Eylül 2015, 10:15:12
merhaba,

bende bu konularda tecrübesizim fakat yeni başlangıç yaptım ve ali beyin yardımı ile vivado yu kurdum ve VHDL ile ilk led yakıp söndürme işlemini de gercekleştirdim.
eğitimde anlatılanları daha iyi öğrenmek ve anlamak için ön hazırlık için çalışmalara başladım,
ön hazırlık konusunda tavsiyeniz var mı?
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: alicavuslu - 18 Eylül 2015, 10:56:24
Merhabalar,

Kaynak olarak @muhendisbey'in paylaşmış olduğu dokumanları inceleyebilirsiniz.
https://www.dropbox.com/s/lpjnb2knuzz6kfq/VHDL.rar?dl=0 (https://www.dropbox.com/s/lpjnb2knuzz6kfq/VHDL.rar?dl=0)

Niyazi beyin paylaşmış olduğu linkteki bilgilerde işinize yarıyacaktır.
http://transfer.cizgitagem.org/nsaral/tagem_new/fpgaprograming/ (http://transfer.cizgitagem.org/nsaral/tagem_new/fpgaprograming/)

Bunun haricinde 2 türkçe kaynak mevcut. Kendinizi geliştirmek adında bunlardan da faydalanabilirsiniz.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: MC_Skywalker - 18 Eylül 2015, 13:15:35
Kit geldi. Kurcalaak için akşamı bekliyorum.
(http://s7.postimg.cc/p1vyd5maf/image.jpg) (http://postimg.cc/image/p1vyd5maf/)

(http://s7.postimg.cc/3pogfh2c7/image.jpg) (http://postimg.cc/image/3pogfh2c7/)

Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: alicavuslu - 18 Eylül 2015, 14:34:18
BASYS 3 kartı ile ilgili Digilent firmasının yapmış olduğu Workshop'ta kullandığı mataryeller aşağıdaki linktedir.

https://www.dropbox.com/sh/jetb2xzdcvbscev/AACUb6K6bk9gkaLPHbsRvI-la/Basys3Worksop/Student?dl=0 (https://www.dropbox.com/sh/jetb2xzdcvbscev/AACUb6K6bk9gkaLPHbsRvI-la/Basys3Worksop/Student?dl=0)
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: Firzen - 19 Eylül 2015, 00:08:21
Alıntı yapılan: alicavuslu - 18 Eylül 2015, 09:29:30
Merhabalar Hocam,

Oluşturduğumuz anketten çıkan genel kanı eğitimin başlangıç düzeyinde olmasına yönelik. SPI ve I2C için ileriye yönelik dokuman hazirlamaya başaldım. Bitince sizler paylaşabilirim.

PWM ile alakalı videolarınız gerçekten çok güzel. Kodu gönderebilirsiniz. İsterseniz daha generic ve kontrollü hale de getirebiliriz. Eğitim için de desteklerinizi her zaman bekleriz.

Tamam Hocam en geç pazartesi elimde bulunan tüm örnekleri size atarım.

Bende özellikle SPI I2C ve PWM ile ilgili konuları merak ediyorum. Lookup table ile SPWM yapmak gibi.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: MC_Skywalker - 21 Eylül 2015, 20:28:34
Sonunda LED (Blinky) yak-söndür kısmını becerdim.  hazır bulduğum kodu anlamaya çalışmak kaldı.

(http://s4.postimg.cc/kyjtbl9qh/Untitled_1985274.jpg) (http://postimg.cc/image/kyjtbl9qh/)


https://youtu.be/zRhq0cH3OBo (https://youtu.be/zRhq0cH3OBo)
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: Firzen - 21 Eylül 2015, 20:41:54
Alıntı yapılan: MC_Skywalker - 21 Eylül 2015, 20:28:34
Sonunda LED (Blinky) yak-söndür kısmını becerdim.  hazır bulduğum kodu anlamaya çalışmak kaldı.

(http://s4.postimg.cc/kyjtbl9qh/Untitled_1985274.jpg) (http://postimg.cc/image/kyjtbl9qh/)


http://youtu.be/zRhq0cH3OBo (http://youtu.be/zRhq0cH3OBo)


isterseniz anlatayım hocam kısaca;
ilk üç satır kütüphanesi oluyor.
ardından entity yani varlık kısmını tanımlıyoruz diğer bir deyişle toplam giriş çıkış sayısı.
ve en önemli kısım olan mimari tasarımı architecture gel,ypr. burada yazacağımın VHDL kodunda signal bağlantılarını beginden sonrada process ile de ana VHDL kodunu yazıyoruz.
cnt yazan 24 bitlik bir counter yani sayıcı.
son 2 bitte 1 diğerlerinde 0 yapıyor. Sistem 50 MHz olduğundan bunu yavaşlatmak için counter kullanılıyor.

Size daha öncden yazdığım bir kodu göndereyim;

--KÜTÜPHANE TANIMLANDI
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_arith.ALL;
use IEEE.STD_LOGIC_unsigned.ALL;
use IEEE.NUMERIC_STD.ALL;

--GİRİŞ ÇIKIŞ TANIMLANDI
entity counter is port(
   clk : in std_logic;
   btn : in std_logic;
   rst : in std_logic;
   leds: out std_logic_vector(7 downto 0)
   );
end counter;
   
--MİMARİ TASARIMI
--BUTONA BASILDIKÇA 8 TANE LED SIRASI İLE YANACAK   
architecture counter of counter is
   signal cntr : std_logic_vector(2 downto 0);
   begin
      process(clk) is begin
         if(rising_edge(clk))then
            if(rst='1')then
               cntr<="000";
            elsif(btn='1')then
               cntr<=cntr+1;
            end if;
         end if;   
      end process;
   with cntr select
     LEDS <= "00000001" when "000",
             "00000010" when "001",
             "00000100" when "010",
             "00001000" when "011",
             "00010000" when "100",
             "00100000" when "101",
             "01000000" when "110",
             "10000000" when others;
         
end counter;               

Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: MC_Skywalker - 21 Eylül 2015, 20:54:33
Şimdi bu koda bakarak şöyle bir benzerlikten bahsedebiliriz;

use IEEE.STD_LOGIC_1164.ALL;   
yazdık bu C/C++ karşılığı olarak  [
code]#include "stdio.h"[/code] 
demiş mi olduk

clk : in std_logic;
yazdık bu C/C++ karşılığı olarak 
#define
demiş mi olduk


architecture counter of counter is
end counter

yazdık bu C/C++ karşılığı olarak

void main()
{
}

demiş mi olduk

CPLD ler ile oynarken şematik kısmında hazır 74xx entegre yapılarını kullanarak birkaç birşey yapmıştım.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: Firzen - 21 Eylül 2015, 20:57:46
Alıntı yapılan: MC_Skywalker - 21 Eylül 2015, 20:54:33
Şimdi bu koda bakarak şöyle bir benzerlikten bahsedebiliriz;

use IEEE.STD_LOGIC_1164.ALL;   
yazdık bu C/C++ karşılığı olarak  [
code]#include "stdio.h"[/code] 
demiş mi olduk

clk : in std_logic;
yazdık bu C/C++ karşılığı olarak 
#define
demiş mi olduk


architecture counter of counter is
end counter

yazdık bu C/C++ karşılığı olarak

void main()
{
}

demiş mi olduk

CPLD ler ile oynarken şematik kısmında hazır 74xx entegre yapılarını kullanarak birkaç birşey yapmıştım.
Evet diyemesemde denebilir. C/C++ gibi programlar seri okuma yapar satır satır kodu okur,fakat, VHDL ve Verilog bu işlemi pararlel yapar yani kodun tmamını aynı anda çalıştırır. Zaten bu özelliği yüzünden haberleşme ve görüntü sinyal teknoloijileri alanında çok yercih edilmesinin nedenidir.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: MC_Skywalker - 21 Eylül 2015, 21:03:30
Demek istediğim, tanımlameler ve kütüpane çağırma kısmları için benzetme yapmak.

include ile daha önce yazdığımız yada hazır olan kütüpaneyi çağırıyoruz veya define ile tanımlamalar yapıyoruz gibi.

bu işi bercerdiğimde yapmak istediğim şöyle güzel bir audio specturum analizer. kurcalama ve karıtırmalara tam gaz devam.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: Firzen - 21 Eylül 2015, 21:30:01
Alıntı yapılan: MC_Skywalker - 21 Eylül 2015, 21:03:30
Demek istediğim, tanımlameler ve kütüpane çağırma kısmları için benzetme yapmak.

include ile daha önce yazdığımız yada hazır olan kütüpaneyi çağırıyoruz veya define ile tanımlamalar yapıyoruz gibi.

bu işi bercerdiğimde yapmak istediğim şöyle güzel bir audio specturum analizer. kurcalama ve karıtırmalara tam gaz devam.
Öyle denebilir hocam.
Bende Güç elektroniğinde kullanmak için istiyorum. Umarım kurs yararlı gelecektir.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: alicavuslu - 22 Eylül 2015, 11:29:40
@Firzen Bence kodlarını aşağıdaki konu başlığında da paylaşırsan güzel olur.

https://www.picproje.org/index.php/topic,34258.0.html (https://www.picproje.org/index.php/topic,34258.0.html)

Alıntı yapılan: Firzen - 21 Eylül 2015, 20:41:54
--KÜTÜPHANE TANIMLANDI
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_arith.ALL;
use IEEE.STD_LOGIC_unsigned.ALL;
use IEEE.NUMERIC_STD.ALL;

--GİRİŞ ÇIKIŞ TANIMLANDI
entity counter is port(
   clk : in std_logic;
   btn : in std_logic;
   rst : in std_logic;
   leds: out std_logic_vector(7 downto 0)
   );
end counter;
   
--MİMARİ TASARIMI
--BUTONA BASILDIKÇA 8 TANE LED SIRASI İLE YANACAK   
architecture counter of counter is
   signal cntr : std_logic_vector(2 downto 0);
   begin
      process(clk) is begin
         if(rising_edge(clk))then
            if(rst='1')then
               cntr<="000";
            elsif(btn='1')then
               cntr<=cntr+1;
            end if;
         end if;   
      end process;
   with cntr select
     LEDS <= "00000001" when "000",
             "00000010" when "001",
             "00000100" when "010",
             "00001000" when "011",
             "00010000" when "100",
             "00100000" when "101",
             "01000000" when "110",
             "10000000" when others;
         
end counter;               
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: ercan_t - 22 Eylül 2015, 11:56:34
merhaba,

clk,   btn ,   rst ,   leds

değişkenleri basy3.master.xdc  de tanımlı değil mi?
bende
leds yok led[0], led[1]... var
clk var
rst yok
btn yok btnC, btnU, btnL,btnD.. var

olmayanların tanımları ne olacak?



Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: Firzen - 22 Eylül 2015, 12:33:14
Alıntı yapılan: alicavuslu - 22 Eylül 2015, 11:29:40
@Firzen Bence kodlarını aşağıdaki konu başlığında da paylaşırsan güzel olur.

https://www.picproje.org/index.php/topic,34258.0.html (https://www.picproje.org/index.php/topic,34258.0.html)


tamamdır hocam :)

mesaj birleştirme:: 22 Eylül 2015, 12:55:36

Alıntı yapılan: ercan_t - 22 Eylül 2015, 11:56:34
merhaba,

clk,   btn ,   rst ,   leds

değişkenleri basy3.master.xdc  de tanımlı değil mi?

leds dediğimiz şeyi std_logic_vector olarak tanımlarsan ve led[0]... yazanları leds[0]... olarak değiştirirsen çalışır. İsimlendirme sana ait.
btn olarak kullanmak istediğin buton btnC ise orayı btn yap oda çalışır.
bende
leds yok led[0], led[1]... var
clk var
rst yok
btn yok btnC, btnU, btnL,btnD.. var

olmayanların tanımları ne olacak?




Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: MC_Skywalker - 22 Eylül 2015, 13:47:40
Her projede kendin leds vb tanımlaya bilirsin. Yada kitlerinhazır tanım dosyalarını kullanıp ona göre modifiye edersin.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: alicavuslu - 22 Eylül 2015, 14:05:46
Hocam arkadaşımızın gerçekleştirdiği devre tasarımı 3 giriş portundan ve 1 çıkış portundan oluşmakta. BASYS 3 üzerinde ki pin atamalarında giriş ve çıkış portları tanımlanmıştır(anahtar, buton led, vga vs). Kendi tasarımımızı yapmış olsaydık bu portları farklı tanımlayabilirdik.

Pin atama işlemlerine gelince arkadaşın kullandığı isimlendirme xdc dosyasındakilerle aynı olmak zorunda değil. Siz clk sinyali haricinde tanımlı giriş değerlerine istediğiniz pin atamasını yapabilirsiniz. btn portuna BTNL-W19 butonunu atayabildiğinzi gibi, BTNC-U18 butonunda atayabilirsinzi veya SW0-V17 anahtar atamasını yapabilirsiniz. FPGA ile çalışmanın en önemli avantajlarından biri de tasarımda değişiklikleri rahat  bir şekilde yapabilmenizdir.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: gilestel - 22 Eylül 2015, 16:31:47
Herkese merhabalar
Yapılacak olan derslerin videoları internette olacak mı? Birde kullanılacak olan kit için indirimden nasıl faydalanabilirim. Teşekkürler.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: MC_Skywalker - 22 Eylül 2015, 19:00:44
Lisedeyken altaki çizimde ki gibi bir devreyi 40xx seri ile uygulamayı denemiştim.  Şimdi bunu FPGA ile gerçeklemek niyetindeyim.



(http://s24.postimg.cc/4exbdv569/image.jpg) (http://postimg.cc/image/4exbdv569/)


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;


entity segmentcozucu is
    Port ( Digit : in STD_LOGIC_VECTOR (3 downto 0);
           SegA : out STD_LOGIC;
           SegB : out STD_LOGIC;
           SegC : out STD_LOGIC;
           SegD : out STD_LOGIC;
           SegE : out STD_LOGIC;
           SegF : out STD_LOGIC;
           SegG : out STD_LOGIC);
end segmentcozucu;

architecture Behavioral of segmentcozucu is

begin
    process (Dijit)
            variable cozulenveri : STD_LOGIC_VECTOR (6 downto 0);
           
            begin
            case Dijit is
                 when "0000" =>  cozulenveri := "0000000";  --0
            when "0001" =>  cozulenveri := "0110000";  --1
            when "0010" =>  cozulenveri := "1101101";  --2
            when "0011" =>  cozulenveri := "1111100";  --3
            when "0100" =>  cozulenveri := "0110011";  --4
            when "0101" =>  cozulenveri := "1011011";  --5
            when "0110" =>  cozulenveri := "1011111";  --6
            when "0111" =>  cozulenveri := "1110000";  --7
            when "1000" =>  cozulenveri := "1111111";  --8
            when "1001" =>  cozulenveri := "1111011";  --9
            when others =>  cozulenveri := "0110110";  --istenmeyen H
                 end case;
            SegA <= not cozulenveri(6);
            SegB <= not cozulenveri(5);
            SegC <= not cozulenveri(4);
            SegD <= not cozulenveri(3);
            SegE <= not cozulenveri(2);
            SegF <= not cozulenveri(1);
            SegG <= not cozulenveri(0);
    end process                                   
end Behavioral;
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: MrDarK - 24 Eylül 2015, 10:38:38
Alıntı yapılan: gilestel - 22 Eylül 2015, 16:31:47
Herkese merhabalar
Yapılacak olan derslerin videoları internette olacak mı? Birde kullanılacak olan kit için indirimden nasıl faydalanabilirim. Teşekkürler.

Merhaba ; Evet eğitimin videoları internete yüklenecek, kit için yaptığımız çalışma sınırlı sayıda olup sizinle iletişime geçeceğim.
Başlık: Ynt: Picproje Eğitim Semineri 1.FPGA Workshop ( 18 Ekim 2015 ) Katılım Anketi
Gönderen: saybatli - 13 Ekim 2015, 15:30:46
izmirde de seminer yaparsanız bende katılmak isterim
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: boreas - 15 Ekim 2015, 16:21:58
Yer ayarlasak bu etkinliği Ankarada da yapabilir miyiz ?
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: alicavuslu - 15 Ekim 2015, 16:35:18
Ankara'da egitimi yapabiliriz.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: cengav4r - 15 Ekim 2015, 22:09:19
Alıntı yapılan: alicavuslu - 15 Ekim 2015, 16:35:18
Ankara'da egitimi yapabiliriz.
Ankara'da olursa ben de katılmak isterim Mehmet Ali hocam.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: alicavuslu - 15 Ekim 2015, 22:17:57
Uygun bir zamanda olabilir. Zaten Ankara'dayız. :)
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: JOKERAS - 15 Ekim 2015, 22:40:12
alicavuslu usta linkteki kitap sizin eserinizmi?
Yazarlar olarak M.Ali Çavuşlu olunca dikkatimi çekti.
Eğer sizseniz direkt olarak size sorayım.

FPGA ile bir proje geliştirme olayı bana çok uzak,konuya tamamen Fransızım Mcu işini daha çözemedimki kaldıki bunu çözeyim :)
Bu kitabınızı alsak daha ilkokul aşamasındaki benim gibi arkadaşlara FPGA konusunda yardımı olurmu?
Teşekkürler.

http://www.kodlab.com/BookDetail.aspx?ID=94427 (http://www.kodlab.com/BookDetail.aspx?ID=94427)
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: alicavuslu - 15 Ekim 2015, 23:14:59
Kitabı Muzaffer arkadaşım ile birlikte yazdık. Kitabı yazma amacımız temel düzeyde FPGA konusunda kaynak oluşturmaktı. Anlatım sizin tabirinizle ilkokul seviyesine uygun olarak yazıldı. FPGA davranışı arkadaşların kafasında yer etmesi amacıyla, Kitap içerisindeki her uygulama satır satır açıklandı. Son kısım ise biraz daha ileri seviye uygulamalar içermekte (FIR filtre, Temel Görüntü İşleme ve Görüntü İşlemede Konvolusyon). Aynı zamanda bazı uygulamaların videolarıda mevcut.

Kitabı alın diyemem o sizin takdiriniz. Kitap içerisinde ki tüm uygulamaları gerçekleştirdiğinizde bu işi için yeteri kadar birikime sahip olacağınız kanaatindeyim.

İlginiz için teşekkürler...
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: Firzen - 16 Ekim 2015, 00:03:39
Alıntı yapılan: JOKERAS - 15 Ekim 2015, 22:40:12
alicavuslu usta linkteki kitap sizin eserinizmi?
Yazarlar olarak M.Ali Çavuşlu olunca dikkatimi çekti.
Eğer sizseniz direkt olarak size sorayım.

FPGA ile bir proje geliştirme olayı bana çok uzak,konuya tamamen Fransızım Mcu işini daha çözemedimki kaldıki bunu çözeyim :)
Bu kitabınızı alsak daha ilkokul aşamasındaki benim gibi arkadaşlara FPGA konusunda yardımı olurmu?
Teşekkürler.

http://www.kodlab.com/BookDetail.aspx?ID=94427 (http://www.kodlab.com/BookDetail.aspx?ID=94427)
Bence Al :P :)
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: boreas - 16 Ekim 2015, 10:25:13
Ankara için hoca tamam :) İstanbul'daki etkinliği görelim katılıma göre uygun olursa yer ve zaman ayarlayalım.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: MrDarK - 16 Ekim 2015, 10:27:38
Alıntı yapılan: Firzen - 16 Ekim 2015, 00:03:39
Bence Al :P :)

Bugün 2 tane kitap siparişi verdik :) Herşeyi de hocamıza sormak yerine kitaptan öğrenelim birazda :) Youtube 'da kayıtları da var sanırım.
Başlık: Ynt: Picproje 1.FPGA Eğitimi Hakkında Bilgiler
Gönderen: boreas - 16 Ekim 2015, 10:36:11
Alıntı yapılan: JOKERAS - 15 Ekim 2015, 22:40:12
alicavuslu usta linkteki kitap sizin eserinizmi?
Yazarlar olarak M.Ali Çavuşlu olunca dikkatimi çekti.
Eğer sizseniz direkt olarak size sorayım.

FPGA ile bir proje geliştirme olayı bana çok uzak,konuya tamamen Fransızım Mcu işini daha çözemedimki kaldıki bunu çözeyim :)
Bu kitabınızı alsak daha ilkokul aşamasındaki benim gibi arkadaşlara FPGA konusunda yardımı olurmu?
Teşekkürler.

http://www.kodlab.com/BookDetail.aspx?ID=94427 (http://www.kodlab.com/BookDetail.aspx?ID=94427)

Eğer keyfi bir iş ise önce mcu olayını çöz derim. FPGA'lerin deneme kitleri işlemcileri vs. çok daha pahalı donanımlar bir PIC gibi alıp oynayayım diyeceğin cihazlardan (şuan için) uzaklar. Dediğim gibi keyfi ise mcu'yu çöz bu arada onlarında fiyatları vs. daha uygun hale gelir o zamanda onları incelersin derim ben :)
Başlık: Ynt: Picproje FPGA Eğitimi Hakkında Bilgiler
Gönderen: JOKERAS - 16 Ekim 2015, 12:41:24
Alıntı yapılan: alicavuslu - 15 Ekim 2015, 23:14:59
Kitabı Muzaffer arkadaşım ile birlikte yazdık. Kitabı yazma amacımız temel düzeyde FPGA konusunda kaynak oluşturmaktı. Anlatım sizin tabirinizle ilkokul seviyesine uygun olarak yazıldı. FPGA davranışı arkadaşların kafasında yer etmesi amacıyla, Kitap içerisindeki her uygulama satır satır açıklandı. Son kısım ise biraz daha ileri seviye uygulamalar içermekte (FIR filtre, Temel Görüntü İşleme ve Görüntü İşlemede Konvolusyon). Aynı zamanda bazı uygulamaların videolarıda mevcut.

Kitabı alın diyemem o sizin takdiriniz. Kitap içerisinde ki tüm uygulamaları gerçekleştirdiğinizde bu işi için yeteri kadar birikime sahip olacağınız kanaatindeyim.

İlginiz için teşekkürler...

Eyvallah..

Şahsen amacım dediğim gibi proje geliştirmek değil.
Mcu'leri daha çözemedim,hala uğraşıyorum,ama bilgimi görgümü arttırmak için bu tip kitapları alıyorum.
Haa baktımki  işi kavrıyorum RGB led'lerden video sürmek,Led,Lcd tv dijital sinyal işleme sürme gibi küçük işler yapabilirim.Belki! :)


mesaj birleştirme:: 17 Ekim 2015, 12:43:22

Alıntı yapılan: Firzen - 16 Ekim 2015, 00:03:39
Bence Al :P :)
Daha taze 4 gün önceydi sanırım internet üzerinden alıyordum tam o sırada müşterimi geldi bir şey oldu
öylece kaldı,dün konuyu görünce aklıma geldi.Alacağım yani,kaçmaaaz :)

mesaj birleştirme:: 17 Ekim 2015, 12:45:30

Alıntı yapılan: MrDarK - 16 Ekim 2015, 10:27:38
Bugün 2 tane kitap siparişi verdik :) Herşeyi de hocamıza sormak yerine kitaptan öğrenelim birazda :) Youtube 'da kayıtları da var sanırım.
Aynen katılıyorum.Elektronikçi arkadaşların el altında bu tip kitaplardan küçük bir kütüphaneleri olmalı.
Böyle güzel,zevkli,kompleks bir iş ile uğraşmak ayrıcalıktır :)

mesaj birleştirme:: 17 Ekim 2015, 13:05:32

Alıntı yapılan: boreas - 16 Ekim 2015, 10:36:11
Eğer keyfi bir iş ise önce mcu olayını çöz derim. FPGA'lerin deneme kitleri işlemcileri vs. çok daha pahalı donanımlar bir PIC gibi alıp oynayayım diyeceğin cihazlardan (şuan için) uzaklar. Dediğim gibi keyfi ise mcu'yu çöz bu arada onlarında fiyatları vs. daha uygun hale gelir o zamanda onları incelersin derim ben :)
Haklısın Boreas.
Ama iş keyfi olmaz,her işin ucu paraya dayanır.Parayı nasıl kazanıyorsun?Keyfi bir iş ile :)
Yok o öyle değil,her aşk ayakta başlar parasızlıkla biter :)Parasız hayat olmaz!
Mcu ile bir devre yaptın,devre break dansı yapıyor eee sonra devreye bak bak egonu tatmin et dur.
Tuz ve hatun hikayesi burada devreye giriyor,bilen bilir :)

Hele birde Elektronik'le hoby olarak uğraşanlar var çok komik.
Elektronik hoby olamayacak kadar kompleks ve özel bir meslektir bana göre.
Hoby Elektronik yaptık,lambalar yanıyor sönüyor ne güzel,başımız göğe erdi çok mutluyuz :)

Siz söyleyince  aklıma geldi, M.Ali bey'e yeri gelmişken sorayım.
Bu FPGA entegrelerinin  fiyatı en ucuzu ve en küçük entegreleri nelerdir.
Küçüklükten kasıt pin sayısı,küçük entegrelerle büyük işler yapmak en büyük hayalim.
 
Başlık: Ynt: Picproje FPGA Eğitimi Hakkında Bilgiler
Gönderen: alicavuslu - 16 Ekim 2015, 13:28:42
Hocam size önere bileceğim FPGA Xilinx Artix serisi XC7A35T-1CPG236C FPGA'sıdır. 236 adet pin bağlantısı mevcut. Fiyatı 58 dolar. Bunu yerine aynı FPGA'nın üzerinde bulunduğu Digilent BASYS 3 kitini önerebilirim. Forumdaki arkadaşlar bu kart için firma ile fiyat konusunda baya bir yol almışlardı. @MrDarK'a mesaj atarsanız size kendisi gerekli bilgileri verecektir.

Yukarıda tanımlamış olduğunuz işleri bu kart ile rahatlıkla yapabilirsiniz. Hatta daha üst seviye işlemleri (sinyal ve görüntü işleme gibi) de gerçekleştirebilirsiniz.
Başlık: Ynt: Picproje FPGA Eğitimi Hakkında Bilgiler
Gönderen: JOKERAS - 16 Ekim 2015, 13:42:31
Alıntı yapılan: alicavuslu - 16 Ekim 2015, 13:28:42
Hocam size önere bileceğim FPGA Xilinx Artix serisi XC7A35T-1CPG236C FPGA'sıdır. 236 adet pin bağlantısı mevcut. Fiyatı 58 dolar. Bunu yerine aynı FPGA'nın üzerinde bulunduğu Digilent BASYS 3 kitini önerebilirim. Forumdaki arkadaşlar bu kart için firma ile fiyat konusunda baya bir yol almışlardı. @MrDarK'a mesaj atarsanız size kendisi gerekli bilgileri verecektir.

Yukarıda tanımlamış olduğunuz işleri bu kart ile rahatlıkla yapabilirsiniz. Hatta daha üst seviye işlemleri (sinyal ve görüntü işleme gibi) de gerçekleştirebilirsiniz.
Valla M.Ali bey yazılarınız cesaretlenmeme neden oluyor.
FPGA'cımı oluyorum ne?:)
Sizin kitabınızla beraber şu kitabıda sipariş ettim.
http://www.dr.com.tr/Kitap/Her-Yonuyle-FPGA-ve-VHDL/H-Ibrahim-Somyurek/Egitim-Basvuru/Bilgisayar/urunno=0000000546241 (http://www.dr.com.tr/Kitap/Her-Yonuyle-FPGA-ve-VHDL/H-Ibrahim-Somyurek/Egitim-Basvuru/Bilgisayar/urunno=0000000546241)
Başlık: Ynt: Picproje FPGA Eğitimi Hakkında Bilgiler
Gönderen: alicavuslu - 16 Ekim 2015, 17:32:56
Hocam illa FPGA konusunda değil her konuda cesaretli olmalıyız. FPGA ile çalışmak istemeniz beni mutlu eder.

Kitaplarınız hayırlı olsun. Takıldığınız durumlarda elimden geldiğince size destek olmaya çalışırım.
Başlık: Ynt: Picproje FPGA Eğitimi Hakkında Bilgiler
Gönderen: JOKERAS - 16 Ekim 2015, 18:26:48
Alıntı yapılan: alicavuslu - 16 Ekim 2015, 17:32:56
Hocam illa FPGA konusunda değil her konuda cesaretli olmalıyız. FPGA ile çalışmak istemeniz beni mutlu eder.

Kitaplarınız hayırlı olsun. Takıldığınız durumlarda elimden geldiğince size destek olmaya çalışırım.
Eyvallah teşekkürler M.Ali bey.
Başlık: Ynt: Picproje FPGA Eğitimi Hakkında Bilgiler
Gönderen: ercan_t - 17 Ekim 2015, 14:03:12
merhaba
"Vivado Design Suite" 32bit xp e kurulan versiyonu var mı?
Başlık: Ynt: Picproje FPGA Eğitimi Hakkında Bilgiler
Gönderen: muuzoo - 17 Ekim 2015, 15:06:41
Merhaba arkadaşlar. Eğitime gelecek arkadaşlar (BASYS3 Kartı için) Vivado programını yükleyerek gelirlerse iyi olur. Kurulum biraz uzun sürebiliyor. Web edition sürümünü kurabilirsiniz. Bilgisayarınızda 4GB ve üzeri ram olması tavsiye edilir  :)

Kurulum İçin aşağıdaki iki linkten birini kullanabilirsinizi.

Kurulum Görüntüsü (İngilizce) : ! No longer available (http://www.youtube.com/watch?v=oTOhBsParvw#)
Kurulum Görüntüsü (İngilizce) : http://www.xilinx.com/training/vivado/vivado-design-suite-installation-overview.htm (http://www.xilinx.com/training/vivado/vivado-design-suite-installation-overview.htm)

Programı xilinx'in kendi sitesinden indirebilir ya da aşağıda kendi sunucuma yüklediğim bağlantıyı kullanabilirsiniz. Benim yüklediğim kurulum paketi Hem Windows hem de Linux kurulumunu içermekte olup 8.6 GB boyutundadır. TAR.GZ uzantısını açmak için WinRAR programını kullanabilirsiniz.

Bağlantı-1 (Full Product Installation) : http://www.xilinx.com/support/download/index.html/content/xilinx/en/downloadNav/vivado-design-tools.html (http://www.xilinx.com/support/download/index.html/content/xilinx/en/downloadNav/vivado-design-tools.html)
Bağlantı-2 (Full Product Installation) : http://212.18.232.237/Xilinx_Vivado_SDK_2015.3_0929_1.tar.gz (http://212.18.232.237/Xilinx_Vivado_SDK_2015.3_0929_1.tar.gz)

Yeni Proje Oluşturma (Türkçe) : ! No longer available (http://www.youtube.com/watch?v=hyIXKuIxAGE#)