Picproje Elektronik Sitesi

PICPROJE PROGRAMLAMA DERSLERİ => FPGA Öğreniyorum => Konuyu başlatan: bsenguler - 01 Ağustos 2020, 14:23:35

Başlık: FPGA Başlangıç
Gönderen: bsenguler - 01 Ağustos 2020, 14:23:35
Merhabalar,
FPGA ya bir giriş yapmak istiyorum, buradaki eğitimler güncelliğini koruyor mu, eğer korumuyorsa ne önerirsiniz ve artı olarak fpga mödülü önerileriniz ne olur?
Başlık: Ynt: FPGA Başlangıç
Gönderen: fide - 01 Ağustos 2020, 16:50:50
https://www.baranekrem.net/fpga-kisa-bir-bakis/ (https://www.baranekrem.net/fpga-kisa-bir-bakis/)
Başlık: Ynt: FPGA Başlangıç
Gönderen: MC_Skywalker - 01 Ağustos 2020, 17:50:31
https://www.fpga4fun.com/
https://vhdlwhiz.com/

https://store.digilentinc.com/arty-a7-artix-7-fpga-development-board-for-makers-and-hobbyists/
Başlık: Ynt: FPGA Başlangıç
Gönderen: bsenguler - 01 Ağustos 2020, 20:14:14
Öncelikle link paylaşımınız için teşekkür ederim ama sizlerin bilgi dolu bir kelimeniz/ tecrübeleriniz benim için çok daha değerlidir. Zaten google dan ve forumda ki kısımlardan bir çok araştırma yaptım ama forumdaki en son fpga yazısı 2019 sonu yazılmış, teknoloji yerinde durmuyor. Alacağım kart programlayıcı benim için önemli, şu linkteki bilgiler güncelliğini koruyorsa benim için yeterli.

https://www.picproje.org/index.php/topic,75310.0.html
Başlık: Ynt: FPGA Başlangıç
Gönderen: fide - 01 Ağustos 2020, 22:33:11
Alıntı yapılan: bsenguler - 01 Ağustos 2020, 20:14:14Öncelikle link paylaşımınız için teşekkür ederim ama sizlerin bilgi dolu bir kelimeniz/ tecrübeleriniz benim için çok daha değerlidir. Zaten google dan ve forumda ki kısımlardan bir çok araştırma yaptım ama forumdaki en son fpga yazısı 2019 sonu yazılmış, teknoloji yerinde durmuyor. Alacağım kart programlayıcı benim için önemli, şu linkteki bilgiler güncelliğini koruyorsa benim için yeterli.

https://www.picproje.org/index.php/topic,75310.0.html
Fpga hk bilgim yok. Verdiğim link de arkadaşımın blog sayfası. Fpga hk ekleyecek sözüm olmadığı için link ekledim sadece.
Saygılar
Başlık: Ynt: FPGA Başlangıç
Gönderen: bsenguler - 01 Ağustos 2020, 22:41:51
Alıntı yapılan: fide - 01 Ağustos 2020, 22:33:11Fpga hk bilgim yok. Verdiğim link de arkadaşımın blog sayfası. Fpga hk ekleyecek sözüm olmadığı için link ekledim sadece.
Saygılar
Teşekkür ederim.
Başlık: Ynt: FPGA Başlangıç
Gönderen: yilmaz_kk - 02 Ağustos 2020, 00:19:26
Türkçe kaynak: fpga nedir
Yabancı kaynak: https://www.nandland.com/vhdl/tutorials/index.html
Başlık: Ynt: FPGA Başlangıç
Gönderen: bsenguler - 02 Ağustos 2020, 17:53:53
Alıntı yapılan: yilmaz_kk - 02 Ağustos 2020, 00:19:26Türkçe kaynak: fpga nedir

Emek vermişsiniz yazmışsınız teşekkür ederim.

Başlık: Ynt: FPGA Başlangıç
Gönderen: Yuunus - 03 Ağustos 2020, 00:32:32
https://www.youtube.com/playlist?list=PLfcIZXsDLA1-69MWAocDvj3XIA0XfoHlV
Başlık: Ynt: FPGA Başlangıç
Gönderen: bsenguler - 05 Ağustos 2020, 23:48:56
Döküman, video vs internette alabildiğince var. Bir çok dokümanın üzerinden geçiyorum ama hangi fgpa kartı ile başlamak isabetli olur?  Böyle bir kartla  (https://www.elektrovadi.com/Cmod-A7-35T-Artix-7-FPGA-Module,PR-2894.html)başlamak nasıl olur? Her zaman az yakan çok kaçan arıyoruz ama belkide bu sistemde yeterli olmayacak.
Başlık: Ynt: FPGA Başlangıç
Gönderen: Yuunus - 06 Ağustos 2020, 00:52:24
video dersleri ile baslangic yaparsiniz diye link ekledim hangi kit diyorsaniz bence ucuz birseylerle baslayin "ufak bir hatada yazik olur", gidip 300$ lik kit almayin, de10 lite (https://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&No=1021) ben bununla baslamistim, uzerindeki display, buton, ve dahili programlayici daha makul gelmisti.
Başlık: Ynt: FPGA Başlangıç
Gönderen: bsenguler - 06 Ağustos 2020, 01:55:37
@digiman hocam, tam da damdan düşen birini arıyordum. Hemen inceleme listeme ekledim ama Türkiye stoklarında yok gibi, gümrükle uğraşmakta sorun, en kolay yoldan nasıl getirebilirim/getirtebilirim?
Başlık: Ynt: FPGA Başlangıç
Gönderen: Yuunus - 06 Ağustos 2020, 12:51:51
Gumrukle mecburen ugrasican, hem ugrasicak bisey yok sana bir fatura cikariyorlar, oduyorsum.
Başlık: Ynt: FPGA Başlangıç
Gönderen: brandice5 - 06 Ağustos 2020, 13:37:43
Bence cok para yatirmadan soyle birseyle basla.

https://tr.aliexpress.com/item/32812381413.html
Başlık: Ynt: FPGA Başlangıç
Gönderen: kralsam - 06 Ağustos 2020, 15:50:45
Hocam teknoloji tabiki gelişiyor ama ucuz birşey ile başla. Mantık aynı,  sadece SoC falan kullanacağında daha üst modele geçersin.
Başlık: Ynt: FPGA Başlangıç
Gönderen: MC_Skywalker - 07 Ağustos 2020, 14:37:20
Başlangıç için şöyle birşey iyi bir seçim olur. Blaster $2 kart $30

(https://i.postimg.cc/Jzz25Wdy/IMG-2161-1.jpg)
Başlık: Ynt: FPGA Başlangıç
Gönderen: Andromeda - 07 Ağustos 2020, 15:38:17
.. fpga denen yapıda..örneğin yukarıda fotoğrafı olan küçük kart ile diyelimki 10 adet 4000 serisine karşılık gelen entegre benzerleri ile bir yapı oluşturduk.. farklı entegrelerden başka bir yapı oluşturduk... "başla" dedik.. bir yapıdan diğer yapıya geçiş kendiliğinden mikro saniye mertebelerinde oluyor mu?
ya da otomatik çalışma senaryoları oluyor mu?
bazı pinlerden gelen sinyallere göre bu yapıları istediğimiz senaryoya göre devreye alabiliyormuyuz?
Başlık: Ynt: FPGA Başlangıç
Gönderen: kralsam - 08 Ağustos 2020, 12:13:00
Alıntı yapılan: Andromeda - 07 Ağustos 2020, 15:38:17.. fpga denen yapıda..örneğin yukarıda fotoğrafı olan küçük kart ile diyelimki 10 adet 4000 serisine karşılık gelen entegre benzerleri ile bir yapı oluşturduk.. farklı entegrelerden başka bir yapı oluşturduk... "başla" dedik.. bir yapıdan diğer yapıya geçiş kendiliğinden mikro saniye mertebelerinde oluyor mu?
ya da otomatik çalışma senaryoları oluyor mu?
bazı pinlerden gelen sinyallere göre bu yapıları istediğimiz senaryoya göre devreye alabiliyormuyuz?


FPGA i temel bir lojik entegre olarak düşün. Geçişler vs dediğin herşey için lojik bir devre inşa etmen gerekiyor. Sonradan konfigürasyon vs mümkün olabilir ama bu biraz farklı bir konu. Runtime da olmayan fonksiyon ekleyip devreye almak mümkün ama özel bir konu.
Başlık: Ynt: FPGA Başlangıç
Gönderen: bsenguler - 08 Ağustos 2020, 15:12:32
Hocam bu modül  (https://www.robotistan.com/c-mod-c2?language=tr&h=647158e7&gclid=CjwKCAjw1K75BRAEEiwAd41h1CzgXBw8KL5Joy5SRF9agAxtIhhWGwKLR0hsUx6Sw-U6Upv-VPlghRoCXwcQAvD_BwE)benim işime yarar diye düşünüyorum(Yurtdışından şimdilik bakmıyorum, gümrükte ağzım yandı), pinli olması beni zorlamaz, nasıl olsa işin içindeyiz, gereken ihtiyaç olan parçayı takar geçeriz. Sizler ne dersiniz? Fpga'nın ilkokul 1.sınıfı için yeterli olur mu?
Başlık: Ynt: FPGA Başlangıç
Gönderen: brandice5 - 08 Ağustos 2020, 15:34:07
Alıntı yapılan: bsenguler - 08 Ağustos 2020, 15:12:32Hocam bu modül  (https://www.robotistan.com/c-mod-c2?language=tr&h=647158e7&gclid=CjwKCAjw1K75BRAEEiwAd41h1CzgXBw8KL5Joy5SRF9agAxtIhhWGwKLR0hsUx6Sw-U6Upv-VPlghRoCXwcQAvD_BwE)benim işime yarar diye düşünüyorum(Yurtdışından şimdilik bakmıyorum, gümrükte ağzım yandı), pinli olması beni zorlamaz, nasıl olsa işin içindeyiz, gereken ihtiyaç olan parçayı takar geçeriz. Sizler ne dersiniz? Fpga'nın ilkokul 1.sınıfı için yeterli olur mu?

Birincisi linkini verdiğin modül FPGA değil CPLD modül. Mantık benzer de olsa aynı şeyler değiller. Ama CPLD ile başlamak istersen kullanabilirsin.

İkincisi o kart üzerinde JTAG modülü yok, programlamak için harici JTAG alman lazım. Yani tek başına o kart ile birşey yapamazsın.
Başlık: Ynt: FPGA Başlangıç
Gönderen: bsenguler - 08 Ağustos 2020, 16:07:49
Alıntı yapılan: brandice5 - 08 Ağustos 2020, 15:34:07Birincisi linkini verdiğin modül FPGA değil CPLD modül. Mantık benzer de olsa aynı şeyler değiller. Ama CPLD ile başlamak istersen kullanabilirsin.

İkincisi o kart üzerinde JTAG modülü yok, programlamak için harici JTAG alman lazım. Yani tek başına o kart ile birşey yapamazsın.


Harikasın @brandice5 hocam,
Şabana anlatır gibi anlatmışsın, fgpa kart diyoruz google bunu da çıkarıyor, valla söylemesen debelenip duracaktım çok teşekkür ederim, işin kötü tarafı satışı yapılan yerlerde de fgpa başlığı altında duruyor.
Başlık: Ynt: FPGA Başlangıç
Gönderen: analog06 - 08 Ağustos 2020, 21:32:01
Hocam https://www.elektrovadi.com/BASYS3-FPGA-Gelistirme-Karti-Akademik-Fiyati,PR-2276.html böyle bişey alsanız sanırım örnek bulmaktada sorun yaşamazsınız pahalı gelir diyorsanız bolca 2.el i mevcut bu ürünün şahsen bende 2. el aldım ama zaman bulup başlayamadım
Başlık: Ynt: FPGA Başlangıç
Gönderen: kralsam - 08 Ağustos 2020, 21:46:21
Alıntı yapılan: analog06 - 08 Ağustos 2020, 21:32:01Hocam https://www.elektrovadi.com/BASYS3-FPGA-Gelistirme-Karti-Akademik-Fiyati,PR-2276.html böyle bişey alsanız sanırım örnek bulmaktada sorun yaşamazsınız pahalı gelir diyorsanız bolca 2.el i mevcut bu ürünün şahsen bende 2. el aldım ama zaman bulup başlayamadım

Özel bir çalışma yada yol haritanız yoksa vakit harcamamanızı öneririm. Buna ayıracağınız vakti ARM, Linux vb alanlara yada Yazılım Mühendisliği konularına harcayın derim.
Başlık: Ynt: FPGA Başlangıç
Gönderen: analog06 - 08 Ağustos 2020, 21:48:01
Alıntı yapılan: kralsam - 08 Ağustos 2020, 21:46:21Özel bir çalışma yada yol haritanız yoksa vakit harcamamanızı öneririm. Buna ayıracağınız vakti ARM, Linux vb alanlara yada Yazılım Mühendisliği konularına harcayın derim.
Hocam düşüncenize saygı duyuyorum ama böyle düşünmenizin sebebini öğrenebilirmiyim
Başlık: Ynt: FPGA Başlangıç
Gönderen: kralsam - 08 Ağustos 2020, 21:59:17
Alıntı yapılan: analog06 - 08 Ağustos 2020, 21:48:01Hocam düşüncenize saygı duyuyorum ama böyle düşünmenizin sebebini öğrenebilirmiyim
Öğrenciyken bu yazacağım kelimeden nefret ederdim ve kabul etmezdim ama maalesef tecrübe. Öğrenmek bir değer katmış olur ama ne kadar kullanabilirsin emin olamıyorum. Açıkçası benim açımdan hobi seviyesinde kaldı. Ara sıra amatör radio sinyal işleme çalışmaları yapıyorum. Tanıdığım -istisnalar hariç- pek çok arkadaş yurtdışına çıkmak zorunda kalmıştı. Günümüz durumundan tamamen emin değilim. Aktif sektördeki arkadaşlar daha iyi yönlendirecektir.
Başlık: Ynt: FPGA Başlangıç
Gönderen: Yuunus - 08 Ağustos 2020, 22:45:21
@bsenguler bence kesinlikle hevesin kacmasin, bu isi hakkiyla ogrenirsen endustriyel otomasyon kartlari, suruculer vs. hepsini bunlarla tasarlayabilirsin, adamlar 90 larda yapmaya baslamis bu isi, performans, verim hepsi bu meletlete var, yillar sonra geriye donup tuh zamanim bosa gitti demeyecegin bir is.
Başlık: Ynt: FPGA Başlangıç
Gönderen: Andromeda - 08 Ağustos 2020, 23:49:17
.. fpga nın adını yıllar önce bilim teknik dergisinde okumuştum..
voltran gibi birşey diye düşündüm..
robot yolda giderken birden araba oluyor.. sonra kanat takıp uçuyor.. uçarken denize inip gemi olup yüzüyor..
engebeli karaya çıkıp tank gibi gidiyor...
ucuz kartlarla voltranı oluşturabileceksek el atalım ama değilse hayal kırıklığı yaşamayalım.. pc ler kart boyutlarına indi.. bir amatörün fpga ile uğraşmasına gerek var mı öğrenmek isterim..yok gibime geliyor..
bilmediğim bir konu ama..
Başlık: Ynt: FPGA Başlangıç
Gönderen: Yuunus - 09 Ağustos 2020, 01:08:36
Hobi olarak ugrasilacak, ogrenilecek birsey degil, ama goruntu islemedir, eszamanli calismadir, aklima gelenler; bu islerde bulunmaz nimettir, diyebilirsiniz ki mcu ile yapilamaz mi belki yapilir ama her is icin uygun alet edavati kullanmak lazim, cekicle yapilacak is icin de balyoz satin alinmaz, herkes kullansin demiyoruz.
Başlık: Ynt: FPGA Başlangıç
Gönderen: bsenguler - 09 Ağustos 2020, 16:54:53
Alıntı yapılan: kralsam - 08 Ağustos 2020, 21:46:21Özel bir çalışma yada yol haritanız yoksa vakit harcamamanızı öneririm. Buna ayıracağınız vakti ARM, Linux vb alanlara yada Yazılım Mühendisliği konularına harcayın derim.

@kralsam, bunları biliyorum üstad, sadece yeni gelecek projelerde bu sistem nasıl kullanılır onu tecrübe etmek istiyorum.

Alıntı yapılan: digiman - 09 Ağustos 2020, 01:08:36cekicle yapilacak is icin de balyoz satin alinmaz

@digiman hocamında dediği gibi eğer tecrübe etmezsek başımıza gelecek olan bundan başkası değildir. Birisi hayatı boyunca sadece balyoz kullanmıştır, çiviyide balyazlo çakar, duvarı da balyozla yıkar ama hem çekici hem de balyoz kullanmış birisi nerede ne kullanacağını bilip ona göre hareket eder.
Başlık: Ynt: FPGA Başlangıç
Gönderen: muuzoo - 09 Ağustos 2020, 22:03:01
@kralsam 'a katılıyorum. Hobi olarak öğrenmek için biraz külfetli bir platform. Yanlış anlamayın bunu meslek hayatında aktif bir şekilde kullanan biri olarak söylüyorum :)

Benim de önereceğim kitler (Xilinx ailesi)şunlar olurdu :

https://www.elektrovadi.com/BASYS3-FPGA-Gelistirme-Karti-Akademik-Fiyati,PR-2276.html

https://www.elektrovadi.com/Cmod-A7-35T-Artix-7-FPGA-Module,PR-2894.html

https://www.elektrovadi.com/urun/artya7-35t

https://www.elektrovadi.com/urun/arty-s7-fpga-development-board

Başlık: Ynt: FPGA Başlangıç
Gönderen: ibocakir - 10 Ağustos 2020, 11:11:28
@muuzoo 'nun gönderdiği boardlar iyi. Aliexpress'teki ucuz boardları Vivado'ya tanıtamıyorsunuz diye biliyorum. Çalışacağınız kart Digilent'in olsun bence.

Bir de e-book tavsiye ederim, geceleri yatmadan yarım saat ipad kucakta okuyabilirsiniz :)

fundamentals-of-digital-logic-with-vhdl-design-stephen-brown-3rd-ed

Dijital diyaznın temelini öğrendikten sonra VHDL ile uygulama yazarsanız çok daha faydalı olacaktır.

Diğer yandan u-demy eğitimlerine göz atabilirisiniz.
Başlık: Ynt: FPGA Başlangıç
Gönderen: bsenguler - 10 Ağustos 2020, 11:14:31
Üstadlarım bu ürünü  (https://www.elektrovadi.com/BASYS3-FPGA-Gelistirme-Karti-Akademik-Fiyati,PR-2276.html)şunu almaya karar verdim ama sizler kadar derin bilgim yok maalesef, terlemek için hamama girmek gerekiyor. Eğer daha iyi bir kulvarda, daha rahat öğrenebileceğim bir alternatif varsa lütfen beni yönlendirin. Çünkü zamanımızda yeterli olmayan tek şey zaman ve çok kıymetli. Günde ayırabileceğim maksimum boşluk 2 saat o da gece yarısı olacak. Tranformers filmindeki gibi "fedakarlık yoksa, başarıda yok"
Başlık: Ynt: FPGA Başlangıç
Gönderen: bsenguler - 10 Ağustos 2020, 11:15:15
@ibocakir hocam,
çok teşekkür ederim.
Başlık: Ynt: FPGA Başlangıç
Gönderen: bsenguler - 10 Ağustos 2020, 11:15:40
Alıntı yapılan: bsenguler - 10 Ağustos 2020, 11:14:31Üstadlarım bu ürünü  (https://www.elektrovadi.com/BASYS3-FPGA-Gelistirme-Karti-Akademik-Fiyati,PR-2276.html)almaya karar verdim ama sizler kadar derin bilgim yok maalesef, terlemek için hamama girmek gerekiyor. Eğer daha iyi bir kulvarda, daha rahat öğrenebileceğim bir alternatif varsa lütfen beni yönlendirin. Çünkü zamanımızda yeterli olmayan tek şey zaman ve çok kıymetli. Günde ayırabileceğim maksimum boşluk 2 saat o da gece yarısı olacak. Tranformers filmindeki gibi "fedakarlık yoksa, başarıda yok"
Başlık: Ynt: FPGA Başlangıç
Gönderen: muuzoo - 10 Ağustos 2020, 13:08:39
Alıntı yapılan: bsenguler - 10 Ağustos 2020, 11:14:31Üstadlarım bu ürünü  (https://www.elektrovadi.com/BASYS3-FPGA-Gelistirme-Karti-Akademik-Fiyati,PR-2276.html)şunu almaya karar verdim ama sizler kadar derin bilgim yok maalesef, terlemek için hamama girmek gerekiyor. Eğer daha iyi bir kulvarda, daha rahat öğrenebileceğim bir alternatif varsa lütfen beni yönlendirin. Çünkü zamanımızda yeterli olmayan tek şey zaman ve çok kıymetli. Günde ayırabileceğim maksimum boşluk 2 saat o da gece yarısı olacak. Tranformers filmindeki gibi "fedakarlık yoksa, başarıda yok"

İsterseniz ikinci el de bakabilirsiniz. Sahibinden de mesela istanbulda satan biri var. Biraz pazarlıkla daha ucuza alınabilir sanırım.
Başlık: Ynt: FPGA Başlangıç
Gönderen: Andromeda - 10 Ağustos 2020, 13:23:40
.. ürün özelliklerinde 33280 lojik hücre varmış..
33280 adet kapı kullanılabilir demek mi oluyor?
LUT en küçük birim değil mi..
herbir lut ile kapı oluşturabiliriz..
her dilimde 4 lut varmış..
5200x4=20800
bu durumda lut ve lojik hücre tanımları farklı demek ki..
Başlık: Ynt: FPGA Başlangıç
Gönderen: Andromeda - 10 Ağustos 2020, 13:24:47
.. temel tanımları iyi öğrenmek gerekiyor..
Başlık: Ynt: FPGA Başlangıç
Gönderen: Yuunus - 10 Ağustos 2020, 13:36:36
@bsenguler sececegin board kullanacagin ide yi belirleyecektir ona dikkat et, intelin board lari icin ucretsiz ide mevcut, xlink icin bilmiyorum bir arastir sonra board satin al, kisitlamalari goz onunde bulundur.
Başlık: Ynt: FPGA Başlangıç
Gönderen: Bertan - 10 Ağustos 2020, 14:26:40
Ben ilk olarak Altera'nın DE0-Nano geliştirme kartını almıştım öğrenmek için. Şu an fiyatı 4 katına çıkmış neredeyse. Programlamak ve debug yapmak için ek donanım alman gerekmez. Ücretsiz IDE'sini kullanabilirsin. Blog sayfamdaki çalışmaları bu kit yapmıştım.

https://market.samm.com/de0-nano (https://market.samm.com/de0-nano)

Kaynak olarak elimde pdf'ler var, inceleyebilirsin. Daha önce Niyazi hocam paylaşmıştı.

https://drive.google.com/drive/folders/1udChGYaxi7rZ8dpCwC98EwXwh8zBN5FQ?usp=sharing (https://drive.google.com/drive/folders/1udChGYaxi7rZ8dpCwC98EwXwh8zBN5FQ?usp=sharing)
Başlık: Ynt: FPGA Başlangıç
Gönderen: bsenguler - 10 Ağustos 2020, 14:51:21
Alıntı yapılan: Bertan - 10 Ağustos 2020, 14:26:40Ben ilk olarak Altera'nın DE0-Nano geliştirme kartını almıştım öğrenmek için. Şu an fiyatı 4 katına çıkmış neredeyse. Programlamak ve debug yapmak için ek donanım alman gerekmez. Ücretsiz IDE'sini kullanabilirsin. Blog sayfamdaki çalışmaları bu kit yapmıştım.

https://market.samm.com/de0-nano (https://market.samm.com/de0-nano)

Kaynak olarak elimde pdf'ler var, inceleyebilirsin. Daha önce Niyazi hocam paylaşmıştı.

https://drive.google.com/drive/folders/1udChGYaxi7rZ8dpCwC98EwXwh8zBN5FQ?usp=sharing (https://drive.google.com/drive/folders/1udChGYaxi7rZ8dpCwC98EwXwh8zBN5FQ?usp=sharing)


Süper bir blog olmuş, benim gibi yeni başlayanlar için yeterde artar bile.
Başlık: Ynt: FPGA Başlangıç
Gönderen: brandice5 - 10 Ağustos 2020, 15:20:55
2007'de Spartan-3E Starter kit'i Digilent'ten kargo dahil 250TL'ye almistim. Simdi 2700TL olmus.

https://www.elektrovadi.com/Spartan-3E-Starter-FPGA-Karti,PR-551.html
Başlık: Ynt: FPGA Başlangıç
Gönderen: bsenguler - 11 Ağustos 2020, 17:00:29
Alıntı yapılan: brandice5 - 10 Ağustos 2020, 15:20:552007'de Spartan-3E Starter kit'i Digilent'ten kargo dahil 250TL'ye almistim. Simdi 2700TL olmus.

https://www.elektrovadi.com/Spartan-3E-Starter-FPGA-Karti,PR-551.html


Hocam uçmayan bir şey kalmadı ki, fiyatlara el değmeyor.
Başlık: Ynt: FPGA Başlangıç
Gönderen: yilmaz_kk - 26 Temmuz 2022, 13:01:46
Alıntı yapılan: yilmaz_kk - 02 Ağustos 2020, 00:19:26Türkçe kaynak: fpga nedir Site kapanmış.
Yabancı kaynak: https://www.nandland.com/vhdl/tutorials/index.html