555'li zamanlama devresi gerekiyor?

Başlatan Melih1802, 18 Temmuz 2022, 13:58:49

Melih1802

5 saniyelik bir zaman süreci içinde bir butona basıldığında, tekrar 5 sn sürecinin başına dönecek bir devre gerekiyor. Butona basılmazsa çıkış HIGH olacak.

z

Gri noktalar var. Tarif yetersiz.

Normalde H olan cikis butona basildiktan 5 sn sonra low mu olacak?

Normalde H olan cikis butona basildiginda low olacak 5 sn sonra high mi olacak olacak?

Butona basip 5 sn dolmasini beklemeden tekrar butona basilirsa sistem ilk kez butona basilmis gibi mi tepki verecek?



Bana e^st de diyebilirsiniz.   www.cncdesigner.com

Melih1802

Bir turumu MAX 5 sn içinde tamamlayan bir motor var.
Bu motora bir sensor bağlıyorum, süre 5 saniyeden daha fazla ise çıkış almak istiyorum.
Süre 5sn den daha kısa kısa sürelerde sensordan pals alırsam 5sn lik süre sürekli resetlenecek.
 
Çıkış sürekli pals aldığı sürece Çıkış "H" 5sn den uzun sürede pals almazsa "L" olması tercihimdir.

z



10uF yada paralelindeki 1M ile 5 sn suresini ayarlaman gerekecek.

Fakat bu 10uF yaslandikca 5sn suren degisecektir.
Bana e^st de diyebilirsiniz.   www.cncdesigner.com

Melih1802

Similasyonda bende böyle bir çözüm bulmuştum. Kondansatörün kısa devre olması muhtemelen sorun çıkartabilir. Yarın devreyi kurarak test yapacağım.


ilyas KAYA

Alıntı yapılan: Melih1802 - 18 Temmuz 2022, 16:34:41Similasyonda bende böyle bir çözüm bulmuştum. Kondansatörün kısa devre olması muhtemelen sorun çıkartabilir. Yarın devreyi kurarak test yapacağım.



Sensorden hızlı geçerse kondansator deşarj olamaz sanki.

Melih1802

Dönüş hızı yavaş. 0.5sn kadar sürüyor sensor geçişi.

z

Verdigim semayi denedin mi? Ya da simulasyonu yaptin mi?

Isini gorecektir. Gerekirse kucuk dokunuslar yapariz.
Bana e^st de diyebilirsiniz.   www.cncdesigner.com

Melih1802

Alıntı yapılan: z - 18 Temmuz 2022, 18:57:33Verdigim semayi denedin mi? Ya da simulasyonu yaptin mi?

Isini gorecektir. Gerekirse kucuk dokunuslar yapariz.

Bazı GRİ noktalar sorunu çıktı. Bu sebeple PIC entegresine bu işi yaptırmaya karar verdim.

z

En iyisini yapiyorsun fakat verdigim devrede zamanla sure kaymasi haricinde sorun olmamasi lazim.
Bana e^st de diyebilirsiniz.   www.cncdesigner.com

Melih1802

Alıntı yapılan: z - 19 Temmuz 2022, 13:08:50En iyisini yapiyorsun fakat verdigim devrede zamanla sure kaymasi haricinde sorun olmamasi lazim.

Zamanla süre kayması ve sonradan ortaya çıkabilecek tüm diğer sorunlar için risk almamak adına PIC18F1320 kullanmaya karar verdim.