Picproje FPGA Eğitimi Hakkında Bilgiler

Başlatan muuzoo, 23 Temmuz 2015, 22:32:09

muuzoo

Alıntı yapılan: usahin - 23 Temmuz 2015, 19:18:57
http://numato.com/fpga-boards/xilinx.html

Bu adresteki kartlarda akademik indirimim var, Elbert v2'yi 21 dolardan Mimas v2'yi 35 dolardan temin edebiliyorum.  Biraz daha bütçe dostu gibi FPGA hakkında fazla bilgim olmadığı için önerdiğim kartlar eğitim için uygun mu bilmiyorum yardımcı olmak istedim sadece :D

Verdiğiniz linkteki kartlar Xilinx ISE Design Suite ile kullanılabilir. Son srümü 14.7 fakat Xilinx yeni bir programa geçti Vivado Design Suite diye ve ISE sürümününe destek vermiyor. Temel şeyler elbette aynı olacaktır ISE ve Vivado üzerinde. Fakat güncel olanı takip etmek adına anlatımlar Vivado Design Suite üzerinden yapılacaktır. Halihazırda elinde bu önceki seri kartlar olanlar ISE kullanarak da eğitime katılabilirler ama öncelikli olarak tercihimiz Vivado. Bir de birden farklı türde kart olunca o adımları kartı kullanan arkadaşların tolere etmesi gerekecek.
gunluk.muuzoo.gen.tr - Kişisel karalamalarım...

z

Gayet güzel bir etkinlik.

Fakat düşüncemi sorarsanız;

Doğrudan FPGA değilde ilk önce CPLD kiti ile çalışma yapılmalı. Hem bu çok daha ucuza gelecektir.

Kursiyerlerin çoğu FPGA öğrendikten sonra pratikte uygulama yapmayacaklar. Daha doğrusu satılır ürünlerinde FPGA kullanmayacaklar. Fakat CPLD yi peynir ekmek gibi kullanabilirler.

CPLD eğitimi ardından talep olursa gene FPGA eğitimi yapabilirsiniz.
Bana e^st de diyebilirsiniz.   www.cncdesigner.com

muuzoo

#2
Alıntı yapılan: engerex - 24 Temmuz 2015, 11:06:06
Kitte dahili programlayıcı mevcut mu yoksa harici bir programlayıcı gerekiyor mu?

Önerilen kit (BASYS3) üzerinde programlayıcı mevcut. Kit doğrudan USB üzerinden beslenebiliyor. İstenirse harici besleme yapmak da mümkün. Çalıştırmak ve programlamak için bir USB kablosu yeterli. Aynı kısım aynı zamanda  UART olarak da çalışıyor.

mesaj birleştirme:: 24 Temmuz 2015, 11:44:56

Alıntı yapılan: z - 24 Temmuz 2015, 11:17:27
Gayet güzel bir etkinlik.

Fakat düşüncemi sorarsanız;

Doğrudan FPGA değilde ilk önce CPLD kiti ile çalışma yapılmalı. Hem bu çok daha ucuza gelecektir.

Kursiyerlerin çoğu FPGA öğrendikten sonra pratikte uygulama yapmayacaklar. Daha doğrusu satılır ürünlerinde FPGA kullanmayacaklar. Fakat CPLD yi peynir ekmek gibi kullanabilirler.

CPLD eğitimi ardından talep olursa gene FPGA eğitimi yapabilirsiniz.

@z hocam zaten her ikisi için de VHDL ile tasarım yapılabiliyor. Biraz daha yetenekli bir kit ile daha esnek olunur diye düşündük.
gunluk.muuzoo.gen.tr - Kişisel karalamalarım...

Icarus

Alıntı yapılan: z - 24 Temmuz 2015, 11:17:27
Kursiyerlerin çoğu FPGA öğrendikten sonra pratikte uygulama yapmayacaklar.
+1 Aynen.
Zaten FPGA kitide anlamsız. Çünkü FPGA'a ihtiyaç yok. "Led yak söndür" neden böyle bişi yapalım ki ? stm32, LPX hatta PIC alır koyarım :D
Öyle uygulamalar seçeceksiniz ki yüksek hızlı, yüksek bandgeliştiği olan. FPGA'li dizayn kullanılabilir bir alternatif olacak.
Bu tip dizaynlar sadece kodlama değil. X dili ile yazdım. Hem orada hem burada çalışır... Bu C++ için geçerli bir laf

alicavuslu

Alıntı yapılan: Icarus - 24 Temmuz 2015, 12:39:28
+1 Aynen.
Zaten FPGA kitide anlamsız. Çünkü FPGA'a ihtiyaç yok. "Led yak söndür" neden böyle bişi yapalım ki ?

Anlaşılan eğitimde neler anlatılacağını ve yapılacağını çok iyi biliyorsunuz...

Icarus

Alıntı yapılan: alicavuslu - 24 Temmuz 2015, 13:05:48
Anlaşılan eğitimde neler anlatılacağını ve yapılacağını çok iyi biliyorsunuz...
Alınacak Kit link'i forumda var. Sizde bakabilirsiniz.

alicavuslu

#6
Daha öncede paylaştığım eğitime ithamda da bulunmuştun. Bu sefer eğitimi ben vereceğim. Bunu biliyor musun?

Led yakıp söndürtmek gibi de bir derdim yok. Temelde zaten bunlar yapılacak şeyler. Gelecek kişi kendi bunu çözer. Fırsatım ve vaktim olursa emin olabilirsin ki işin ucu üst düzey görüntü işlemeye kadar gidecek. Bunlar neler De-interlacer, Wavelet, Öznitelik Çıkarımı,  Kriptolma, YSA, Sayı Formatları, Filtre Uygulamaları, Matlab ile ön analizler vs.

Bordu da arkadaşlara ben önerdim. Bunu açıkça belirteyim...

muuzoo

Alıntı yapılan: Icarus - 24 Temmuz 2015, 12:39:28
+1 Aynen.
Zaten FPGA kitide anlamsız. Çünkü FPGA'a ihtiyaç yok. "Led yak söndür" neden böyle bişi yapalım ki ? stm32, LPX hatta PIC alır koyarım :D
Öyle uygulamalar seçeceksiniz ki yüksek hızlı, yüksek bandgeliştiği olan. FPGA'li dizayn kullanılabilir bir alternatif olacak.
Bu tip dizaynlar sadece kodlama değil. X dili ile yazdım. Hem orada hem burada çalışır... Bu C++ için geçerli bir laf

@Icarus hocam. Evet led yakıp söndürmek v.b. ugulamalar çok hafif şeyler. Ama çoğumuz MCU programlamaya o şekilde başlamadık mı? İlle performans gerektiren bişi olsun diye görüntü işleme v.b. işlerden mi başlayalım anlatmaya. Bu eğitim merak edenler için bir altyapı olacak. Ondan sonra kullanır, kullanmaz, ilerletir ilerletmez kişinin bileceği iş. Kursa katılanların bir çoğu kullanmayacak belki ama siz de sırf merak ettiğiniz için bir şeyler öğrenmediniz mi hiç?
gunluk.muuzoo.gen.tr - Kişisel karalamalarım...

MrDarK

#8
Alıntı yapılan: Icarus - 24 Temmuz 2015, 12:39:28
+1 Aynen.
Zaten FPGA kitide anlamsız. Çünkü FPGA'a ihtiyaç yok. "Led yak söndür" neden böyle bişi yapalım ki ?

Icarus;
Katılmayacağın bir etkinlik için mesaj yazma gafletinde bulunmana gerek yok. Picproje forumu tarafından ne zaman bir uygulama aktivite yapılacak olsa senin olumsuz mesajların çok oluyor bilmem farkında mısın ? Acaba çok mükemmel etkinlikler ve çalışmalar yaptın da haberimiz mi yok ? Bu tarz yaklaşımlar mevcut çalışmalara zarar verebilir. Özelden devam etmeyi öneririm.

Bilmeyenler için ekleyeyim; bu eğitim tamamen bağımsız bir şekilde anket yapılarak üyelerimiz tarafından seçildi ve sıralandı.(Demek ki başka insanların gözünde FPGA'e ne gerek var diye bir soru yok) İlgili başlıklarda yorum yapmayayıp ilerlemeye çalışan projelerde insanların kafasında soru işareti üretmeye gerek yok. Öğrenmek dinlemek farkında olmak isteyen gelir gelmeyen keyfi bilir. Bu yüzden lütfen yapıcı eleştirilerle gelin. Bu platformun ücretsiz olduğunu insanların kendi emekleri ve özverileri ile geliştiğini idrâk edebildiğimizde yapıcı eleştiri yapacağız sanırım.

Hatırlamak isteyenler için Anket linki aşağıdadır.
https://www.picproje.org/index.php/topic,59454.0.html

@alicavuslu , @muuzoo ve şu anda bu kitlerin fiyatlarını aşağı çekmeye çalışan projelerimize verilen destekler için herkese teşekkür ederim.

Dipnot = Bu sefer eğitimi (inşallah) canlı yayınlamayı düşünüyoruz. Bunun için uğraşacağım. İstanbul dışındaki arkadaşlar üzülmesin :)
Picproje Eğitim Gönüllüleri ~ MrDarK

tekosis

#9
ben bu kiti şu an alamayacağım ancak eğitimleri takip etmek istiyorum. acaba hangi ortamda ve nasıl yapılacak?

Alıntı yapılan: MrDarK - 24 Temmuz 2015, 14:29:39Dipnot = Bu sefer eğitimi (inşallah) canlı yayınlamayı düşünüyoruz. Bunun için uğraşacağım. İstanbul dışındaki arkadaşlar üzülmesin :)

şimdi gördüm :)
İlim ilim bilmektir, ilim kendin bilmektir, sen kendin bilmezsin, bu nice okumaktır.

fbkaya

#10
led yak-söndür işlemini neden hafife alıyorsunuz ? fpga'ya bir hakaret mi olarak görüyorsunuz ? eğer öyle ise led yak-söndür en ucuz pic'e dahi hakarettir. çünkü led söndür-yak için pic'e dahi ihtiyaç yoktur. pil,buton ve direnç ile de bir led yakılıp söndürülebilir. Artık bunu ilk okulda yapıyorlar zaten.
Icarus hocam, anladığım kadarı ile fpga'nın ziyan olma gibi kaygılarınız var. Ali hocam  "Led yakıp söndürtmek gibi de bir derdim yok. Temelde zaten bunlar yapılacak şeyler. Gelecek kişi kendi bunu çözer. Fırsatım ve vaktim olursa emin olabilirsin ki işin ucu üst düzey görüntü işlemeye kadar gidecek. Bunlar neler De-interlacer, Wavelet, Öznitelik Çıkarımı,  Kriptolma, YSA, Sayı Formatları, Filtre Uygulamaları, Matlab ile ön analizler vs."  demiş. Şahsen bunları öğrenmek bana çok şey katar. Forumdaki birçok arkadaşa da birşeyler katacağına eminim.



mesaj birleştirme:: 25 Temmuz 2015, 04:51:21

Bir şey sormak istiyorum. Canlı yayınlancak videolara sonradan erişebilme gibi bir imkanımız da olacak mı ? Ve tarihi aşağı yukarı belli mi ? İnternet'e erişme gibi sıkıntılarım var ve fpga kitini hemen alamayabilirim.

mesaj birleştirme:: 25 Temmuz 2015, 04:58:23

birşey daha sormak istiyorum,
şu: http://www.dr.com.tr/Kitap/Her-Yonuyle-FPGA-ve-VHDL/Sedat-Karatas/Egitim-Basvuru/Bilgisayar/urunno=0000000546241
veya şu: http://www.dr.com.tr/Kitap/VHDL-ile-Sayisal-Tasarim-ve-FPGA-Uygulamalari/Mehmet-Ali-Cavuslu/Egitim-Basvuru/Bilgisayar/urunno=0000000637002

kitap yardımcı olabilir mi ?

Teşekkürler.

MrDarK

Alıntı yapılan: fbkaya - 24 Temmuz 2015, 14:48:38


mesaj birleştirme:: 25 Temmuz 2015, 04:51:21

Bir şey sormak istiyorum. Canlı yayınlancak videolara sonradan erişebilme gibi bir imkanımız da olacak mı ? Ve tarihi aşağı yukarı belli mi ? İnternet'e erişme gibi sıkıntılarım var ve fpga kitini hemen alamayabilirim.

fbkaya;
Tabiki sonradan erişebileceksin, Tarih konusunda 2-3 ay içinde kısmetse ilk eğitim yapılır.
Picproje Eğitim Gönüllüleri ~ MrDarK

muuzoo

Alıntı yapılan: fbkaya - 24 Temmuz 2015, 14:48:38
led yak-söndür işlemini neden hafife alıyorsunuz ? fpga'ya bir hakaret mi olarak görüyorsunuz ? eğer öyle ise led yak-söndür en ucuz pic'e dahi hakarettir. çünkü led söndür-yak için pic'e dahi ihtiyaç yoktur. pil,buton ve direnç ile de bir led yakılıp söndürülebilir. Artık bunu ilk okulda yapıyorlar zaten.
Icarus hocam, anladığım kadarı ile fpga'nın ziyan olma gibi kaygılarınız var. Ali hocam  "Led yakıp söndürtmek gibi de bir derdim yok. Temelde zaten bunlar yapılacak şeyler. Gelecek kişi kendi bunu çözer. Fırsatım ve vaktim olursa emin olabilirsin ki işin ucu üst düzey görüntü işlemeye kadar gidecek. Bunlar neler De-interlacer, Wavelet, Öznitelik Çıkarımı,  Kriptolma, YSA, Sayı Formatları, Filtre Uygulamaları, Matlab ile ön analizler vs."  demiş. Şahsen bunları öğrenmek bana çok şey katar. Forumdaki birçok arkadaşa da birşeyler katacağına eminim.



mesaj birleştirme:: 25 Temmuz 2015, 04:51:21

Bir şey sormak istiyorum. Canlı yayınlancak videolara sonradan erişebilme gibi bir imkanımız da olacak mı ? Ve tarihi aşağı yukarı belli mi ? İnternet'e erişme gibi sıkıntılarım var ve fpga kitini hemen alamayabilirim.

mesaj birleştirme:: 25 Temmuz 2015, 04:58:23

birşey daha sormak istiyorum,
şu: http://www.dr.com.tr/Kitap/Her-Yonuyle-FPGA-ve-VHDL/Sedat-Karatas/Egitim-Basvuru/Bilgisayar/urunno=0000000546241
veya şu: http://www.dr.com.tr/Kitap/VHDL-ile-Sayisal-Tasarim-ve-FPGA-Uygulamalari/Mehmet-Ali-Cavuslu/Egitim-Basvuru/Bilgisayar/urunno=0000000637002

kitap yardımcı olabilir mi ?

Teşekkürler.
Eğitim sırasında hazırlanacak dokümanlar katılanlar için yeterli olacaktır. Video ile takip edecekler ancak benzetim ortamında deneyebilirler. Ama benzetim ortamı proteus gibi değil daha farklı.

Elinizin altinda basili bir kaynak istiyorsaniz kitapçılarda inceleyip istediğiniz birini seçebilirsiniz.
gunluk.muuzoo.gen.tr - Kişisel karalamalarım...

fbkaya


Farukc

Alıntı yapılan: MrDarK - 24 Temmuz 2015, 14:29:39
Dipnot = Bu sefer eğitimi (inşallah) canlı yayınlamayı düşünüyoruz. Bunun için uğraşacağım. İstanbul dışındaki arkadaşlar üzülmesin :)

Bilet almaya gerek kalmadı.. :D