Ödev 1 : Karaşimşek

Başlatan alicavuslu, 20 Ekim 2015, 21:41:24

alicavuslu

Tek bit olarak yapmışsın :)

LEDS <= "00000001" when "000",
"00000010" when "001",
"00000100" when "010",
"00001000" when "011",
"00010000" when "100",
"00100000" when "101",
"01000000" when "110",
"10000000" when others;

Firzen

Alıntı yapılan: alicavuslu - 21 Ekim 2015, 23:09:51
Tek bit olarak yapmışsın :)

LEDS <= "00000001" when "000",
"00000010" when "001",
"00000100" when "010",
"00001000" when "011",
"00010000" when "100",
"00100000" when "101",
"01000000" when "110",
"10000000" when others;


instantion'i düzenleyince sorun çıkmayacaktır hocam. :)
Kararsız...

alicavuslu

Ödev ile alakalı çalışma gerçekleştiren arkadaşları da aramızda görmek isteriz. :)

Firzen

Alıntı yapılan: alicavuslu - 24 Ekim 2015, 20:31:49
Ödev ile alakalı çalışma gerçekleştiren arkadaşları da aramızda görmek isteriz. :)
Sıradaki ödev nasıl oalcak hocam? :)
Kararsız...

MC_Skywalker

#19
İşten fırsat bulursam step motor döndüreceğim :)




alicavuslu

2. Ödev de kara şimşekten devam edeceğiz.