FPGA Başlangıç

Başlatan bsenguler, 01 Ağustos 2020, 14:23:35

MC_Skywalker

Başlangıç için şöyle birşey iyi bir seçim olur. Blaster $2 kart $30


Andromeda

.. fpga denen yapıda..örneğin yukarıda fotoğrafı olan küçük kart ile diyelimki 10 adet 4000 serisine karşılık gelen entegre benzerleri ile bir yapı oluşturduk.. farklı entegrelerden başka bir yapı oluşturduk... "başla" dedik.. bir yapıdan diğer yapıya geçiş kendiliğinden mikro saniye mertebelerinde oluyor mu?
ya da otomatik çalışma senaryoları oluyor mu?
bazı pinlerden gelen sinyallere göre bu yapıları istediğimiz senaryoya göre devreye alabiliyormuyuz?
" Tanrı, iradesini hakim kılmak için yeryüzündeki iyi insanları kullanır, yeryüzündeki kötü insanlar ise kendi iradelerini hakim kılmak için Tanrı'yı kullanırlar." ..." Tanrı'dan mesaj gelmiyor, biz Tanrı'ya mesaj gönderiyoruz"

kralsam

Alıntı yapılan: Andromeda - 07 Ağustos 2020, 15:38:17.. fpga denen yapıda..örneğin yukarıda fotoğrafı olan küçük kart ile diyelimki 10 adet 4000 serisine karşılık gelen entegre benzerleri ile bir yapı oluşturduk.. farklı entegrelerden başka bir yapı oluşturduk... "başla" dedik.. bir yapıdan diğer yapıya geçiş kendiliğinden mikro saniye mertebelerinde oluyor mu?
ya da otomatik çalışma senaryoları oluyor mu?
bazı pinlerden gelen sinyallere göre bu yapıları istediğimiz senaryoya göre devreye alabiliyormuyuz?


FPGA i temel bir lojik entegre olarak düşün. Geçişler vs dediğin herşey için lojik bir devre inşa etmen gerekiyor. Sonradan konfigürasyon vs mümkün olabilir ama bu biraz farklı bir konu. Runtime da olmayan fonksiyon ekleyip devreye almak mümkün ama özel bir konu.

bsenguler

Hocam bu modül benim işime yarar diye düşünüyorum(Yurtdışından şimdilik bakmıyorum, gümrükte ağzım yandı), pinli olması beni zorlamaz, nasıl olsa işin içindeyiz, gereken ihtiyaç olan parçayı takar geçeriz. Sizler ne dersiniz? Fpga'nın ilkokul 1.sınıfı için yeterli olur mu?

brandice5

Alıntı yapılan: bsenguler - 08 Ağustos 2020, 15:12:32Hocam bu modül benim işime yarar diye düşünüyorum(Yurtdışından şimdilik bakmıyorum, gümrükte ağzım yandı), pinli olması beni zorlamaz, nasıl olsa işin içindeyiz, gereken ihtiyaç olan parçayı takar geçeriz. Sizler ne dersiniz? Fpga'nın ilkokul 1.sınıfı için yeterli olur mu?

Birincisi linkini verdiğin modül FPGA değil CPLD modül. Mantık benzer de olsa aynı şeyler değiller. Ama CPLD ile başlamak istersen kullanabilirsin.

İkincisi o kart üzerinde JTAG modülü yok, programlamak için harici JTAG alman lazım. Yani tek başına o kart ile birşey yapamazsın.

bsenguler

#20
Alıntı yapılan: brandice5 - 08 Ağustos 2020, 15:34:07Birincisi linkini verdiğin modül FPGA değil CPLD modül. Mantık benzer de olsa aynı şeyler değiller. Ama CPLD ile başlamak istersen kullanabilirsin.

İkincisi o kart üzerinde JTAG modülü yok, programlamak için harici JTAG alman lazım. Yani tek başına o kart ile birşey yapamazsın.


Harikasın @brandice5 hocam,
Şabana anlatır gibi anlatmışsın, fgpa kart diyoruz google bunu da çıkarıyor, valla söylemesen debelenip duracaktım çok teşekkür ederim, işin kötü tarafı satışı yapılan yerlerde de fgpa başlığı altında duruyor.

analog06

Hocam https://www.elektrovadi.com/BASYS3-FPGA-Gelistirme-Karti-Akademik-Fiyati,PR-2276.html böyle bişey alsanız sanırım örnek bulmaktada sorun yaşamazsınız pahalı gelir diyorsanız bolca 2.el i mevcut bu ürünün şahsen bende 2. el aldım ama zaman bulup başlayamadım

kralsam

Alıntı yapılan: analog06 - 08 Ağustos 2020, 21:32:01Hocam https://www.elektrovadi.com/BASYS3-FPGA-Gelistirme-Karti-Akademik-Fiyati,PR-2276.html böyle bişey alsanız sanırım örnek bulmaktada sorun yaşamazsınız pahalı gelir diyorsanız bolca 2.el i mevcut bu ürünün şahsen bende 2. el aldım ama zaman bulup başlayamadım

Özel bir çalışma yada yol haritanız yoksa vakit harcamamanızı öneririm. Buna ayıracağınız vakti ARM, Linux vb alanlara yada Yazılım Mühendisliği konularına harcayın derim.

analog06

Alıntı yapılan: kralsam - 08 Ağustos 2020, 21:46:21Özel bir çalışma yada yol haritanız yoksa vakit harcamamanızı öneririm. Buna ayıracağınız vakti ARM, Linux vb alanlara yada Yazılım Mühendisliği konularına harcayın derim.
Hocam düşüncenize saygı duyuyorum ama böyle düşünmenizin sebebini öğrenebilirmiyim

kralsam

Alıntı yapılan: analog06 - 08 Ağustos 2020, 21:48:01Hocam düşüncenize saygı duyuyorum ama böyle düşünmenizin sebebini öğrenebilirmiyim
Öğrenciyken bu yazacağım kelimeden nefret ederdim ve kabul etmezdim ama maalesef tecrübe. Öğrenmek bir değer katmış olur ama ne kadar kullanabilirsin emin olamıyorum. Açıkçası benim açımdan hobi seviyesinde kaldı. Ara sıra amatör radio sinyal işleme çalışmaları yapıyorum. Tanıdığım -istisnalar hariç- pek çok arkadaş yurtdışına çıkmak zorunda kalmıştı. Günümüz durumundan tamamen emin değilim. Aktif sektördeki arkadaşlar daha iyi yönlendirecektir.

Yuunus

#25
@bsenguler bence kesinlikle hevesin kacmasin, bu isi hakkiyla ogrenirsen endustriyel otomasyon kartlari, suruculer vs. hepsini bunlarla tasarlayabilirsin, adamlar 90 larda yapmaya baslamis bu isi, performans, verim hepsi bu meletlete var, yillar sonra geriye donup tuh zamanim bosa gitti demeyecegin bir is.

Andromeda

.. fpga nın adını yıllar önce bilim teknik dergisinde okumuştum..
voltran gibi birşey diye düşündüm..
robot yolda giderken birden araba oluyor.. sonra kanat takıp uçuyor.. uçarken denize inip gemi olup yüzüyor..
engebeli karaya çıkıp tank gibi gidiyor...
ucuz kartlarla voltranı oluşturabileceksek el atalım ama değilse hayal kırıklığı yaşamayalım.. pc ler kart boyutlarına indi.. bir amatörün fpga ile uğraşmasına gerek var mı öğrenmek isterim..yok gibime geliyor..
bilmediğim bir konu ama..
" Tanrı, iradesini hakim kılmak için yeryüzündeki iyi insanları kullanır, yeryüzündeki kötü insanlar ise kendi iradelerini hakim kılmak için Tanrı'yı kullanırlar." ..." Tanrı'dan mesaj gelmiyor, biz Tanrı'ya mesaj gönderiyoruz"

Yuunus

Hobi olarak ugrasilacak, ogrenilecek birsey degil, ama goruntu islemedir, eszamanli calismadir, aklima gelenler; bu islerde bulunmaz nimettir, diyebilirsiniz ki mcu ile yapilamaz mi belki yapilir ama her is icin uygun alet edavati kullanmak lazim, cekicle yapilacak is icin de balyoz satin alinmaz, herkes kullansin demiyoruz.

bsenguler

Alıntı yapılan: kralsam - 08 Ağustos 2020, 21:46:21Özel bir çalışma yada yol haritanız yoksa vakit harcamamanızı öneririm. Buna ayıracağınız vakti ARM, Linux vb alanlara yada Yazılım Mühendisliği konularına harcayın derim.

@kralsam, bunları biliyorum üstad, sadece yeni gelecek projelerde bu sistem nasıl kullanılır onu tecrübe etmek istiyorum.

Alıntı yapılan: digiman - 09 Ağustos 2020, 01:08:36cekicle yapilacak is icin de balyoz satin alinmaz

@digiman hocamında dediği gibi eğer tecrübe etmezsek başımıza gelecek olan bundan başkası değildir. Birisi hayatı boyunca sadece balyoz kullanmıştır, çiviyide balyazlo çakar, duvarı da balyozla yıkar ama hem çekici hem de balyoz kullanmış birisi nerede ne kullanacağını bilip ona göre hareket eder.

muuzoo

@kralsam 'a katılıyorum. Hobi olarak öğrenmek için biraz külfetli bir platform. Yanlış anlamayın bunu meslek hayatında aktif bir şekilde kullanan biri olarak söylüyorum :)

Benim de önereceğim kitler (Xilinx ailesi)şunlar olurdu :

https://www.elektrovadi.com/BASYS3-FPGA-Gelistirme-Karti-Akademik-Fiyati,PR-2276.html

https://www.elektrovadi.com/Cmod-A7-35T-Artix-7-FPGA-Module,PR-2894.html

https://www.elektrovadi.com/urun/artya7-35t

https://www.elektrovadi.com/urun/arty-s7-fpga-development-board

gunluk.muuzoo.gen.tr - Kişisel karalamalarım...