bu kitlerden birini alan varmı?

Başlatan speak48, 25 Ağustos 2008, 16:46:29

speak48

ilk önce fpga ile uğraşan herkese kolaygelsin..
bende bi fpga kiti alamak istiyorum ama kararsız kaldım..
spartan 3e 500k gate,altera de1,altera de2 veya Altera DE2-70
bu kitlerden herhangibirini alan varmı..?
vhdl ile pek uğraşmadığım için bu kitlerin kapasileri "500k,xxx les"
picteki  8k rom gibi ne kadarlık bir tasarıma olanak verir bilemiyorum..
bu yüzden önerilerinizi bekliyorum..
mesela spartanda mesala microblaze işlemciyi koyduktan sonra nekadar boş kapı kalıyor ,pic 16f877 nin tasarımı nekadar kapı tutar???? felan
bana yetecek kadar bir kit almayı istiyorum..

ugurtarlaci

Dostum, anladığım kadarıyla konuyu pek araştırmadan dalmışsın olaya.  Tavsiyem biraz daha araştırmandan yana. PLD ler ile uğraşacaksan öncelikle olaya biraz daha hakim olman gerekli. Geliştirme setinden önce alman gereken yol var. Geliştirme seti nihayetinde öğrendiklerini uygulama fırsatı ve geliştirme fırsatı verir sana. Altera , Xilinx yada daha farklı bir  firmanın ürününü seçebilirsin. Burada önemli olan temin şartları. Yapı ve kullanım olarak benzerdirler sonuç olarak. Türkiye de en çok Xilinx kullanılıyor sanırım, ancak ben Alterayı seçtim. Bu işe başlamadan önce nacizane tavsiyem , MCU ya geçmeden önce hepimizin eğitiminde yer almış olan lojik bilgini tazelemelisin. Bu sistemler MCU lar gibi çalışmazlar ve programlama mantıkları tamamen farklıdırlar. Bu farkları sindirmelisin önce. İlk zamanlarda VHDL veya VeriloG la başlamak durmunda değilsin elbette ancak ileriki aşamada kaçarın yok  tabi. İlk tasarımlarını Block/Şema olarak hazırlama şansın vardır tüm firmaların ürün ve yazılımlarında. Donanım olarakta FPGA yerine CPLD ile giriş yapmanı öneririm. Aksi halde başlamadan havlu atabilirsin :)

Sana kolay gelsin.
Zoru Başarırım , İmkansız Biraz Zaman Alır....İmza... YENİ NESİL GENÇ TÜRK MÜHENDİSLERİ......

sigmoid

ben de cpld, fpga öğrenmek istiyorum. Tavsiye edebileceğin kitap, site var mı?

teşekkürler.

speak48

fpga hakkında bayadır araştırma yapıyom zaten..
vhdl başlamıştım ama yaptığım tasarımları bi proteus gibi simılasyon eden simulator olmadıgı için vhdl i geliştirmem bana göre mümkün değil .
bu yüzden tasrımları test etmek için gerçek devreler üzerinde denemek istiyorum.
bitirme projeside fpga ile alakalı bir konuyu seçicem zaten
bide konuyu araştırmadığımı nerden çıkardı??

salih18200

arkadaşım vhdl ve verilog kodlarını simüle etmek
için modelsim kullanabilirsin tabi proteus gibi
görsel bişeyler yok ortada, sadece tanımladın
sinyallerin zamana göre dalga şekillerini çiziyo
bu dalga şekillerine bakarak kodunun istedin gibi
çalışıp çalışmadını kontrol edebilirsin ilk aşamada
zaten sete ihtiyacın yok bizim labda 15-20 tane
fpga seti var inan çoğu zaman kullanmıyorum
bile modelsim yetiyo kodumun çalıştığından emin
olduğumda ise settede bikere deniyorum okadar.
Bence set alma işini biraz ertele.birde net üzerinden
online fpga lab kullnabileceğin bir site var.üye oldum
ama kullanmak nasip olmadı kullanışlı olup olmadını
bilmiyorum denemeni tavsiye ediyorum.

http://www.cizgi-tagem.org/e-lab/

speak48

bizim laplarda fpga ile ilgili birşey olmadığı için kendim alıp denemem lasım.
ilk uygulamaya yapmadan ilerlermek istemiyorum bi deneyelim sonra simulasyonla hallederiz.
xilinx de  bi kaç simulasyon yapmıştım rezilhane bişey.

salih18200

iyi o zaman verdim site senin işini görür bende oraya bağlanmaya çalışıyorum bakalım kullanışlımı ayrıca o bahsettin oyuncaklar okadar ucuz şeyler deiller nerden baksan 500 ytl 1000ytl civarındalar :lol:

707yunus

@salih18200 sana çok teşşekür edrim verdiğin site çok güzel.üstelik türkçe.bnde uğraşıyorum fpga ile ve böyle bir siteye rastlamadım.

salih18200

siteye üyelik tamamen ücretsiz e-lab hizmetinden faydalanmak için başvuru yapıyosunuz ve 1-2 güne iteğiniz onaylanıyo.sonrasında zaten orda açıklamış ne yapçanızı.bende şuan bağlandım fpga seti karşımda duruyo webcam dan görebiliyorum sistem xilinx olduğu için yabancılık çektim ayrıca benim ihtiyacım yok belki spartan3E üzerinde kendimi geliştirmek için kullanabilirim.ihtiyacı olan arkadaşlar için gayet güzel bir uygulama.güle güle kullanın. ;)

igudenler

merhaba ben 2 senedir FPGA ler üzerinde verilog ile çalışıyorum ve vhdl dilinde hiç kodlama yapmamış olsamda gördüğüm projeleri anlayabiliyorum. şu an xilinx spartan3e starter kitim var bu kart üzerinde çalışıyorum. ilk başladığım dönemlerde simülasyonun proteus, workbench gibi ortamlarda yapılamaması ve geliştirme kartımın da olmaması nedeniyle çok sıkıntı çekmiştim ve internet yardımıyla bu konuda biraz bilgi toplamıştım. şimdi kabul etmek gerekir ki HDL simülasyonunun için şu anda en iyi çözüm dalga şekillerinin ile çıktısını veren modelsim ve benzeri programlar. bu programlar yardımıyla yaptığınız projedeki modüllerin sadece giriş çıkış portlarını değil içerideki sinyalleride izleyebiliyorsunuz. sinyalleri takip etmek zahmetli ve proteusta mcu lar ile yapılan görsel simülasyonlar ile karşılaştırıldığında zevksiz olmasına rağmen, HDL simülasyonu özellikle gelişmiş projelerde bu şekilde yapılmak zorunda. fakat çok verimli olmasa da görsel simulasyon yapan birkaç program mevcut . bunlardan hatırladığım en iyisi tina design suite adlı bir program. fakat bu programda sadece VHDL desteği var verilog simülasyonu yok. bir ikincisi ise multisim 10 bu programda da hdl ile component yaratıp simulasyon yapabiliyorsuuz fakat detayları hakkında malesef bilgim yok. kolay gelsin. tavsiyem bir geliştirme kartı almadan önce simülasyon yaparak kendinizi geliştirmeniz.
10 çeşit insan vardır  binary saymayı bilenler  ve bilmeyenler...

leblebitozu

Altera'nın fpga ve cpld kitlerini Türkiyede çizgi elektronikten, kredi kartına taksitle buradan alabilirsiniz

http://www.cizgi-tagem.org/e-market/

CoşkuN

Alıntı yapılan: "leblebitozu"Altera'nın fpga ve cpld kitlerini Türkiyede çizgi elektronikten, kredi kartına taksitle buradan alabilirsiniz

http://www.cizgi-tagem.org/e-market/
Keşke Xilinx'çi arkadaşlar da böyle bir hizmete girişseler...

KaraBekir

Altera Nios II 32 bit gömülü işlemcinin ne kadar LEs kullandığını ve daha fazlasını bu dökümandan inceleyebilirsin http://www.altera.com/literature/ds/ds_nios2_perf.pdf

LEs ile kapıları ve board üzerindeki Flash, RAM ile FPGA kapasitesi karşılaştırılmasın. RAM temelli FPGA 'ler genellikle "Configuration Device" den yükleme yapar ve sonra işleme başlar.

@CoşkuN
FPGA hakkında fazla bilgisi olmayan birisi için "Xilinx mi Altera mı" üstünde durmak ne kadar önem taşır?

ersa1905

Slm
arkadaslar yeni baslayan biri olarak aranıza geldim...ödevim spartan 3e programını ndirmek onu öğrenip bir tane uygulama yapmak...bu konuda bilgi ve programa ihtiyacım var...yardımcı olursanız sevinirim...

kadirbas

Bildiğim kadarıyla Xilinx ürünlerinin Türkiye'deki dağıtıcısı EMPA Elektronik. Onlarla irtibata geçip Xilinx ürünlerine ulaşabilirsiniz.
Bu arada benim elimde Spartan 3AN'nin kiti var. Aldığımdan beri çok kapsamlı uğraşamadım ama güzel bi kit olduğunu söyleyebilirim..