verilog VHDL DE SAYI (soru)

Başlatan teknikelektronikci, 13 Haziran 2011, 17:15:42

teknikelektronikci

Merhaba arkadaslar

verilog da sayilari
4'h1   4 bit hexadesimal 1 ollarak veya atiyorum 8'b01010010  gibi gösterebilyioruz bunu vhdl de yapmanin yolu nedir ? internette o kadar arastirmamda bulamadim

veya sayilari ben direk yazarsam desimal " " icinde yazarsam binary ollarakmi algiliyor ?
Ey Türk istikbalinin evlâdı! İşte, bu ahval ve şerâit içinde dahi, vazifen; Türk İstiklâl ve Cumhuriyetini kurtarmaktır! Muhtaç olduğun kudret, damarlarındaki asil kanda mevcuttur!

Ersin

x"FF"   hexadecimal
"11111111"  binary

google a   vhdl data types yaz

teknikelektronikci

x dediigniz hexadesimal mi oluyor ? aslinda bir yerde gördümde inanmamisdim :) ben vhdl numbers yazdim hep birde böyle deniyeyim tesekkürler
Ey Türk istikbalinin evlâdı! İşte, bu ahval ve şerâit içinde dahi, vazifen; Türk İstiklâl ve Cumhuriyetini kurtarmaktır! Muhtaç olduğun kudret, damarlarındaki asil kanda mevcuttur!

pic365

Eğer atama yaptığınız sinyal std_logic_vector ise aşağıdaki gibi de yapabilirsiniz.

data <= conv_std_logic_vector(123,8);

Burada 123 decimal sayı, 8 de data sinyalinin bit sayısı. Data sinyalini integer olarak tanımlarsanız aşağıdaki şekilde de atama yapabilirsiniz fakat integer'ı testbench haricinde kullanmanızı pek tavsiye etmem.

data <= 123;