Cpu tasarımında bölme işlemi

Başlatan yamak, 22 Mart 2012, 00:30:23

yamak

Cpu tasarımda toplama çıkarma and ... gibi işlemler tek cycle da yapılabiliyo fakat bölme işlemi tek cycle da yapılamıyo. Peki ben tasarımıma bi tane bölme işlemi yapan IP eklesem bu IP atıyorum 8 cycle da sonuç verse şöyle bi sorun ortaya çıkmaz mı:
Benim program counter ım her clock darbesinde artıyo. Bunun sonucu olarak da instruction memory deki bir sonraki komuta geçiliyo.Bu durumda benim daha bölme işlemimim bitmeden bir sonraki komut işletilmiş oluyo.  Bu bir sorun değil midir? Cpu tasarımlarında bu tip sorunların önüne nasıl geçiliyo?

burak_82

Sanırım bu konuyu biraz da derleyici çözüyor.

Örneğin:

x = a*b + a+b+c;

İşleminde a*b işlemi başlatılıp a+b+c işlemine geçilip sonuca a*b eklenebilir. bu tip optimizasyonlar var.

berat23

anladığım kadarıyla bitirme projesi cpu yapıyorsunuz.pipeline işlemci o kadar basit birşey değil.

z

PC kafasına göre artan bir sayıcı değil.

Komut işletimi bittikten sonra PC'ı artıracaksın.

Pipe line mekanizması kursan bile değişen fazla birşey olmayacak. Bölme işlemi yapılırken kurukta bekleyen bir diğer komutun decode işlemi bitmiş olsa bile ancak bölme işlemi ardından sonra işletilebilir.
Bana e^st de diyebilirsiniz.   www.cncdesigner.com

z

Bölme işlemini 8 cycle da yapabiliyormusun? Yapıyorsan nasıl bir algoritma kullandın?
Bana e^st de diyebilirsiniz.   www.cncdesigner.com

yamak

@z
HocamI bölme işlemi işlemi için kendi tasarımımı kullanmıyorum.Hazır IP ler var onları kullanıyorum.

@berat23
Şu an single cycle olarak olarak tasarladım ekstradan bölme işlemi eklemeyi düşünüyorum. Yani bitirme ödevim bitmiş oldu.Fakat bu tasarımı pipeline a dönüştürmeyi düşünüyorum.


z

Bu hazır IP den bir şekilde algoritmayı elde etmek mümkünmü?

Algoritma olmasa bile ipucu olacak tarzda birşeylere de razıyım.
Bana e^st de diyebilirsiniz.   www.cncdesigner.com

yamak

Hocam datasheet i var isterseniz göndereyim.Radix-2 algoritamasının kullanıldığı falan yazıyo.

z

Ok sevinirim.

Hatta foruma koyma şansımız varsa daha iyi olur.
Bana e^st de diyebilirsiniz.   www.cncdesigner.com


z

Bu dosyayi indirebilmek icin muhakkak login olmak mi gerekiyor? Ben rapidin uyesi degilim ve linki tikladigimda indirebilecegim bir buton vs goremedim.
Bana e^st de diyebilirsiniz.   www.cncdesigner.com

rree

Bir saykıl da bölme işlemini yapan cpu varmı merak ettim.

pea

Alıntı yapılan: z - 22 Mart 2012, 21:20:58
Bu dosyayi indirebilmek icin muhakkak login olmak mi gerekiyor? Ben rapidin uyesi değilim ve linki tikladigimda indirebilecegim bir buton vs goremedim.

Ortada Download seçeneği var yeşil yeşil.
Ya kısa süre önce Rapid'den yükleme yaptınız ya da Rapid ile ülkesel , yazılımsal sorununuz vardır  :)

z

@Yamak

Dosyayi indirdim ancak, pdf acildiginda bos sayfalardan ibaret. Acrobat programi, pdf i olusturan kisi ile gorusmemi isteyen mesaj verdi. Ben de sana sorayim dedim.

Ne yapayim?
Bana e^st de diyebilirsiniz.   www.cncdesigner.com

yamak