fpga kiti

Başlatan Bertan, 07 Eylül 2012, 03:46:50

berat23

herkes söylüyor ama sen pek dinlemiyorsun.önce fpga nedir,iyice kavra,sonra yola devam edersin.

stratix falan öğrenmelik cihazlar değil,uçuk aletler.


muuzoo

Alıntı yapılan: bertan88 - 09 Eylül 2012, 18:15:04

neden birkaç program yapıp atayımki. Okadar para verdiğim şeyi ben asla birkaç örnek yapıp atmam  >:( . ilk kez c++ öğrendiğimde günde 12 saat program yazıyordum ve hiçte sıkılmıyordum  :D buda onun gibi olur

Bakın bir dost tavsiyesi olarak söylüyorum yeni başlayan birisiniz Starix,Virtex gibi üst seviye fpga'lar size büyük beden gelir. Öncelikle spartan ya da cyclone serileri ile başlayın. Şu anlayıştan, bir kere alayım en iyisi olsun mantığını bırakın kaldı ki en iyisi kavramı da görecelidir. Bahsettiğiniz starix serisi üst seviye fpga'lardandır ve sadece "öğrenmek" adına almak için gereksiz derecede pahalıdır ve yazıktır.

Ayrıca fpga için kod yazmak c/c++ programlamaya benzemez. Burada pek çok arkadaşın dediği gibi de2-115 ya da spartan bazlı bir ürün alarak başlayın. Baktınız yetmiyor ondan sonra bir üst seviyeye geçersiniz.
gunluk.muuzoo.gen.tr - Kişisel karalamalarım...

speak48

fpgayı fpga alarak öğrenmek biraz zor olucaktır.
çünkü tasarımdan donanıma geçiş baya zahmetlidir ve zaman alır öle diğerleri gibi "c++,mcu.." çalıştır butonuna basınca çalışmaz.
hazır tasarımı bile çalıştırılabilir hale getirmek uzun bir iştir bide  tasarım aşaması ise daha uzun sürer

de2_115 in 115 i 115 bin les demek fpganın büyüklüyü yani içinde 115 bin filipflop 115 bin lut var demek.
bunun içini tek başına doldurman imkansız.

Starix,Virtex mi onlar ise  5 milyonu aşmıştı.ki onları eğitim amaçlı bi iki  kit  dışında satınbile alamazsın adamlar ne yapcan diye soruyorlar.bu kitler tasarımın silikona geçirilmeden önce test amaçlı kullanılıyor.

esensoy

#33
Alıntı yapılan: bertan88 - 09 Eylül 2012, 18:15:04

neden birkaç program yapıp atayımki. Okadar para verdiğim şeyi ben asla birkaç örnek yapıp atmam  >:( . ilk kez c++ öğrendiğimde günde 12 saat program yazıyordum ve hiçte sıkılmıyordum  :D buda onun gibi olur
bertan88, Çizgi Tagem in fpga lab ı (elinde kit yok ise) çok kullanışlı,
Bari orada lcd yazdırmaya kadar gel de, ondan sonra karar ver ne alacağına,
En tehlikeli an "zafer" anıdır.

Bertan

#34
virtex stratix nerden çıktı  >:( ?? ben öyle birşey demedim sadece örnek olarak bir site verdim. niye 5000$ verip öyle birşey alıyım ben  >:( ! başta dedim zaten de2-115 alıcam diye . sonrada ekran kamera falan alırım . ben siteyi sadece desteklediği hızı için sordum ama  cyclone için olanını bulamadım bende o yüzden örnek olarak başka bir fpga gösterdim yani öyle birşey alıcağım yok. orayada yazdım zaten aradığım şey bunun gibi birşey . vhdl nin de c/c++ kadar kolay olmadığını biliyorum 3-4 aydır zaten araştırıyorum şu an bile tam herşeyi anlayamadım .

arm-beginner

Alıntı yapılan: speak48 - 07 Eylül 2012, 17:42:02
(...)ben derimki kitten önce bu iş neiş onu öğren zaten kiti alsanda işi öğrenemeden kite ancak bakarsın derim. (...)

Çok doğru bir söz. İlkönce Verilog veya VHDL ne, onu öğren. Xilinx'in güzel Toolchain'i var. Orda yazdıklarını simule edersin vs. vs.
LED şimdi önündeki board'a yanmış veya ekranda, bence ilk aşamada fark etmez.
Ben 2 sendir VHDL öğrenmeye çalışıyorum (belkide daha fazla) ve halen kendime bir board almadım.

Birde senin geçenlerde LM317 ile yaşadığın sorun aklıma geldiğinde, ilk hedef olarak yıldızları değilde, daha yakın olan ayı seçmen daha verimli olur diye düşünüyorum. 
Birde unutmayalım: bu tür alışverişlerde ülkemizden döviz çıkıyor. O board'un çekmecede tozlanması üzücü olur. Ama bunlar tabii şahsi fikrim.

Bertan

#36
Alıntı yapılan: arm-beginner - 09 Eylül 2012, 20:36:03
Çok doğru bir söz. İlkönce Verilog veya VHDL ne, onu öğren. Xilinx'in güzel Toolchain'i var. Orda yazdıklarını simule edersin vs. vs.
LED şimdi önündeki board'a yanmış veya ekranda, bence ilk aşamada fark etmez.
Ben 2 sendir VHDL öğrenmeye çalışıyorum (belkide daha fazla) ve halen kendime bir board almadım.

Birde senin geçenlerde LM317 ile yaşadığın sorun aklıma geldiğinde, ilk hedef olarak yıldızları değilde, daha yakın olan ayı seçmen daha verimli olur diye düşünüyorum. 
Birde unutmayalım: bu tür alışverişlerde ülkemizden döviz çıkıyor. O board'un çekmecede tozlanması üzücü olur. Ama bunlar tabii şahsi fikrim.


vhdl yi azda olsa biliyorum ama geliştirme kartım olmadan , hatalarımı görmeden nasıl ilerleyebilirimki  :(
konuyu açmamın nedenini belirttim. sadece birtane kart alabilirim dedim çok birşey bilmediğimide söyledim  sizden sadece istediğim  benim yerinde olsaydınız hangi kiti alırdınız yada nasıl bir yol izlerdiniz ?

lm317 olayına gelince o sorunu çoktan çözdüm potu 3. kez değiştirince düzeldi.

mesaj birleştirme:: 09 Eylül 2012, 20:56:00

birde baştan söylim genelde tft ekranlarla uğraşmak istiyorum

speak48

fpganın hızı tasarıma göre değişir   100-300 mhz civarı sonuçlar alabilirsin.
de2-115 çok güzel kit ben de2-70 le değiştirmek isterdim.benim zamanımdada en iyi de2-70 vardı de2 yerine onu almıştım orası ayrı.
bikaç deneme yaptık fpganın  nasıl çalıştığını anladıktan sonra  fpga ile uğraşmanın ne kadar zor olduğunu
fpga ya geçmeden yapılması gereken çok şey olduğunu farkettim.

izlenecek yol :
lojik devreler.mikroişlemciler.bilgisayar mimarisi gibi dersleri özellikle lojik devrelerde iyi olman gerek.
vhdl yerine verilog öneririrm c ye ebnziyor ve yazması kolay.verilog kodlarını incelemeye başla dicem ama
başkasının yazdığı basit c kodlarını anlayabilirsin ama  verilog kodlarını anlamak basit  bile olsa zor olacaktır.
çok iyi ingilizce bil benim gibi yarım ingceyle çok yavaş ilerlersin

nasıl anlarım diyosan ise algoritma-kod-devre arasındaki bağlantıları kurman lazım.

de2-115 alcaksan alteranın tasarım programlarını edin.kendi basit simulatoru var waveform çizerek simule edebiliyon.
kiti almadan önce baya haşır neşir ol basit bi tasarımı yap.deneme aşamasına gelirsen kiti al derim.

fpga hata bulmak ise samanlıkta iğne aramaktır.
fpgaya gelinceye kadar hata araman gereken birçok yer var.
algoritma->kod->simulasyon->devre... çalıştıralabilir hale getirdikten sonra fpgada ancak algoritmada hata yapıp yapmadığını anlayabilirsin.





Bertan

Alıntı yapılan: speak48 - 09 Eylül 2012, 21:50:41
fpganın hızı tasarıma göre değişir   100-300 mhz civarı sonuçlar alabilirsin.
de2-115 çok güzel kit ben de2-70 le değiştirmek isterdim.benim zamanımdada en iyi de2-70 vardı de2 yerine onu almıştım orası ayrı.
bikaç deneme yaptık fpganın  nasıl çalıştığını anladıktan sonra  fpga ile uğraşmanın ne kadar zor olduğunu
fpga ya geçmeden yapılması gereken çok şey olduğunu farkettim.

izlenecek yol :
lojik devreler.mikroişlemciler.bilgisayar mimarisi gibi dersleri özellikle lojik devrelerde iyi olman gerek.
vhdl yerine verilog öneririrm c ye ebnziyor ve yazması kolay.verilog kodlarını incelemeye başla dicem ama
başkasının yazdığı basit c kodlarını anlayabilirsin ama  verilog kodlarını anlamak basit  bile olsa zor olacaktır.
çok iyi ingilizce bil benim gibi yarım ingceyle çok yavaş ilerlersin

nasıl anlarım diyosan ise algoritma-kod-devre arasındaki bağlantıları kurman lazım.

de2-115 alcaksan alteranın tasarım programlarını edin.kendi basit simulatoru var waveform çizerek simule edebiliyon.
kiti almadan önce baya haşır neşir ol basit bi tasarımı yap.deneme aşamasına gelirsen kiti al derim.

fpga hata bulmak ise samanlıkta iğne aramaktır.
fpgaya gelinceye kadar hata araman gereken birçok yer var.
algoritma->kod->simulasyon->devre... çalıştıralabilir hale getirdikten sonra fpgada ancak algoritmada hata yapıp yapmadığını anlayabilirsin.

cevap için çok teşekkürler  :) sonunda soruma tam cevap veren birini buldum. kiti zaten şimdi almıyıcam birkaç ay sonra alıcam. ozamana kadar kendimi geliştiririm heralde  ;)

muuzoo

Alıntı yapılan: bertan88 - 09 Eylül 2012, 22:09:29
cevap için çok teşekkürler  :) sonunda soruma tam cevap veren birini buldum. kiti zaten şimdi almıyıcam birkaç ay sonra alıcam. ozamana kadar kendimi geliştiririm heralde  ;)

Şu an için Xilinx ISE Design suit ile başlayabilirsin. Kendi içinde dahili benzetimcisi var. Yazdığın kodları derleyebilirsin. Kolay bir başlangıç için bloğumda yayınladığım bir yazı var. Kurulum linux için anlatıldı ama programın örnek çalışması farketmiyor.

http://gunluk.muuzoo.gen.tr/2012/05/06/vhdl-bolum-1c-xilinx-ise-yeni-proje/
http://gunluk.muuzoo.gen.tr/2012/05/06/vhdl-bolum-1d-projenin-benzetiminin-yapilmasi-simulasyon/
http://gunluk.muuzoo.gen.tr/2012/05/10/vhdl-bolum-2a-vhdl-e-giris/
gunluk.muuzoo.gen.tr - Kişisel karalamalarım...

yamak

Ben Nexys 2 öneririm. Akademik indirimle beraber kargo ücreti dahil 140$ a almıştım ve Amerikadan 3 günde geldi. Başlangıç için idealdir.
http://www.digilentinc.com/Products/Detail.cfm?NavPath=2,400,789&Prod=NEXYS2
FPGA prototyping by VHDL examples veya FPGA prototyping by Verilog Examples kitabıyla çalışmaya başlayabilirsin.

Bertan

#41
Alıntı yapılan: yamak - 09 Eylül 2012, 22:53:19
Ben Nexys 2 öneririm. Akademik indirimle beraber kargo ücreti dahil 140$ a almıştım ve Amerikadan 3 günde geldi. Başlangıç için idealdir.
http://www.digilentinc.com/Products/Detail.cfm?NavPath=2,400,789&Prod=NEXYS2
FPGA prototyping by VHDL examples veya FPGA prototyping by Verilog Examples kitabıyla çalışmaya başlayabilirsin.

o kitabı Türkiye de hiçbir yerde bulamadım . sadece yabancı sitelerde var  :(

mesaj birleştirme:: 10 Eylül 2012, 00:07:08

tamamdır kitabı internette buldum. isteyen burdan indirebilir http://depositfiles.com/files/8407456
burdada başka kitaplar var http://books.google.com.tr/books?id=k9T-Q8RgEHcC&hl=tr&source=gbs_book_similarbooks

pic365

FPGA ile birkaç led yakıp söndürmekten fazlasını öğrenmek istiyorsanız kit almanızı tavsiye etmem. Çünkü kit sizi çok fazla kısıtlar. Sayısal tasarım konusunda bir şeyler öğrenmekten ziyade belli bir firmanın belli bir FPGA'ini çalıştırmakla uğraşırsanız ve o firmanın programlarını kullanmayı öğrenirsiniz sadece. Bu işi öncelikle simülasyon ortamında öğrenmek daha sağlıklı olur düşüncesindeyim.