Çarpma ve Bölme işlemleri kaç cycle'da tamamlanıyo?

Başlatan yamak, 11 Mart 2013, 12:06:17

yamak

İşlemcilerin çarpma ve bölme işlemlerini kaç cycle da tamamladığını nereden öğrenebilirim.Her markanın bunları belirten dökümanı var mı?Meşhur işlemcilerinkiyi öğrensem yeter.Hatta bu donanımların dizaynını da öğrenebilirsem çok güzel olur.

camby

yamak hocam ,  meshur ıslemcılerın de aynı bızım ugrastıgımız ıslemcıler gıbı datasheetlerı var. Ancak cok sayfalı :) . Bunlara bı goz atsan fazlasıyla bılgı bulabılırsın dıye tahmın edıyorum. Farnell uzerınden ıntel atom ıslemcılerı karıstırmıstım bi ara , baska ıslemcıler de  vardır sanırım.

rree

Bölme işlemini proteusda saydırdım pic C 30 du sanırım  yaklaşık 500 saykıl değer çıkmıştı. Yanlız  işlemci bölme kayıtcılarını kullanmadığını gördüm.Tamamen yazılımsal bölmeydi.

kantirici

Alıntı yapılan: rree - 11 Mart 2013, 13:06:55
Bölme işlemini proteusda saydırdım pic C 30 du sanırım  yaklaşık 500 saykıl değer çıkmıştı. Yanlız  işlemci bölme kayıtcılarını kullanmadığını gördüm.Tamamen yazılımsal bölmeydi.

hocam isiste bu işlemi nasıl yaptnız acaba?

rree

1-Mikro denetleyici işlemci frekansında  dc clock  sinyali üretetici elemanı yerleştiriyoruz
   Örneğin 628A için, 20 Mhz cristal 20/4 =5 Mhz Dc clock üretici 5Mhz olmalıdır.
2-Dcclock u sayıcıya bağlayın.
3-İsis debug dan bölme işleminin başladağı  ve bittiği komutlara duraklar ile işaretliyoruz.
   Debug Pause   F9 (Bölme komutunun alt ve üstündeki komutalara)
4-Debug çalıştıtıyoruz bölme işleminin başladığı konumda pause  konumunda bekleyecektir. Bu arada sayıcı  manuel olarak rasetleyiniz.
5-Debug işlemini devam ettriyoruz. Bölme işleminin sonunda debugger pause konumunda bekleyecektir.
   Sayıcı değerini okuyoruz.Çıkan sayı saykıl adetidir.

muhittin_kaplan

Hocam Konu Bilgisayar bölümünde ve İşlemciden kasıt CPU.