fpga acemisi...

Başlatan brlesc, 02 Kasım 2013, 13:55:56

brlesc

Kolay gelsin arkadaşlar
fpga lar ile kendimi geliştirmek istiyorum bu chipler hakkında biraz araştırma yaptım ama tam olarak
nereden başlayacağım bilemedim amacım daha ileride görüntü işleme algoritmalarını uygulamak. Şimdilik
similasyonlar ile denemeler yapma,düşüncesindeyim ve henüz hiç bir uygulama denemedim sadece vhdl orneklerini
inceledim. burada bir kaç sorum olacak.. Verilog ve vhdl farklı dil midir. Bunlar karşılastırılabilir mi. Birbirilerine
üstünlükleri nelerdir yada başka daha üstün diller varmı.. Sizce hangisinin üzerine gitmeliyim.. Bir diğer sorum ise
bu chiplerin bazılarının fiyatları 30 40 dolar bazı chipler 200 300 dolar. Bunların farkı nedir sadece içindeki lojik birimlerin fazlalığı  mı. Yani o fiyat farki
ne için var..
cevaplarınız için teşekkür ederim
edeb ya hu...

speak48

bu konuyu boşver
gömülü sistem rtos c arm uğraş kendine daha hayırlı olur.
fpga da iş ve uygulama alanı ülkemizde çok dar.

vhdl ile verilog aynı işi gören farklı hdl dillerdir.
birbirine göre artıları eksileri vardır.
yazım bakımından vhdl adaya verilog cye benzer.
fpganın fiyatı büyüklüğüne göre değişmektedir.

brlesc

cevabınız için çok teşekkür ederim hocam.
stm32 serileri ile bir kac projem oldu şu anda da bir proje uzerinde çalısıyorum fakat ileriye donuk olarak bu projeyi geliştirmeyi hedefliyorum
onun için bi yerlerden başlamalıyım.Renk filtreleri falan uygulamayı dusunuyorum tabi bunlar su an için sadece dusunceden ibaret.. ama en azından fpga içine soft işlemci gomerek bi şeyler deneyip kendimi geliştirmek istiyorum.. Bunun için  çizgi tagem de bulunan altera de0 bordu ilk aşama için sizce nasıl olur ?
edeb ya hu...

E_Kk

Ilk aşamada altera dan başla bütçenin el verdiği ölçüde basit bir board al. De0 olur de1 olur. Altera Dokümantasyon desteği açısından xilinx e göre daha iyi kanımca. Dokümantasyon desteği ilk öğrenirken çok önemli çünkü kendini yalnız hissetmiyorsun. Altera da fpga mantığını iyice kaptıran sonra xilinx öğren. Dil olarak da ben vhdl tavsiye ediyorum.
"Meselâ: Hendese bir fendir. Onun hakikatı ve nokta-i müntehası, Cenab-ı Hakk'ın İsm-i Adl ve Mukaddir'ine yetişip, hendese âyinesinde o ismin hakîmane cilvelerini haşmetiyle müşahede etmektir."Sözler

GibsonSG

"bu konuyu boşver
gömülü sistem rtos c arm uğraş kendine daha hayırlı olur.
fpga da iş ve uygulama alanı ülkemizde çok dar."
Böyle bir laf edip de insanları caydırmak cidden çok yanlış. Konuyu açan arkadaş belki kendini geliştirip de yurtdışında çalışacak bir seviyeye gelecek?
Öte yandan ben de bu sene yüksek lisansta almakta olduğum  ileri sayısal tasarım dersi sayesinde FPGA öğrenmeye başladım. E_kk'nin de dediği gibi Altera'nın öğrenciye yönelik dökümantasyonu daha düzenli. Dersin projesi sebebiyle Xilinx kullanmak zorunda kaldım ve pek memnun değilim Xilinx'in dökümantasyonundan. Kendime bir adet de Altera DE0 aldım. Ara tatilde onun ile uğraşıp Altera'nın sitesindeki lab ve tutorialları halledeceğim ki bu az çok temel oluşturacaktır. Başlangıç aşamasında tavsiyem bir adet Altera DE0 al. Çizgi Market'te akademik fiyat ile almam mümkün. Dil konusuna gelince başlangıç aşamasında öğrenmek için Verilog daha uygun. Çünkü syntaxi biraz daha C'ye yakın fakat kendini tek bir dille sınırlamana gerek yok. İki dilin de mantığı aslında hemen hemen aynı. Tek farkı syntax. Birini iyi öğrendin mi diğerini de öğrenmek çok zorlamaz seni. Şöyle de bir muhabbet var ABD ve Uzak Doğu'da Verilog, Avrupa'da VHDL kullanılıyor diye bir muhabbet var. Bu şekilde bir temelin olduktan sonra zaten Altera-Xilinx, Verilog-VHDL çok da farketmeyecektir senin için.

Ramiz

Alıntı yapılan: speak48 - 04 Kasım 2013, 18:44:39
bu konuyu boşver
gömülü sistem rtos c arm uğraş kendine daha hayırlı olur.
fpga da iş ve uygulama alanı ülkemizde çok dar.

vhdl ile verilog aynı işi gören farklı hdl dillerdir.
birbirine göre artıları eksileri vardır.
yazım bakımından vhdl adaya verilog cye benzer.
fpganın fiyatı büyüklüğüne göre değişmektedir.

Ne zaman fpga konusu açılsa hep aynı cevaplar gelir. Rtos, c, arm farklı bir dünyadır, fpga, cpld, pld ayrı bir dünya..
Electrical & Electronics Engineering -> Step one

speak48

#6
öncelikle sorulan soruların cevabını vermişim.
söylemim caydırmadan ziyade tavsiye niteliğinde bir yol göstermedir.

diğer alanları öğrensin uzmanlaşsın ihtiyaç duyulursa fpga öğrensin.

çok fazla ayrı dünyalar gibi gözükmesede  iki karpuz bir koltuğa sığmaz.

Icarus

Benim gördüğüm genelde şu oluyor. Dev kit'lerin çoğu 3 şekilde dizayn edilmiş.
1-) Gerçekten FPGA ile dizayn yapılması için üretilmiş kitler.
Fiyatları genelde $5k-$10k arasıda oluyorlar. Karta eklentilerin nasıl yapılacağı düşünülerek dizayn edilmiş. FPGA bir seçim değil bir zorunluluk.
2-) SoC için
Biri gelmiş  SoC için bütün dizaynı yapmış. Sizden sadece bir processor seçmenizi bekliyor. Bu tip kartlar ile yapılan şey sonunda LCD ekranı olan bir PC'nin ötesine geçemiyor çünkü karta ilave yapılamıyor.  (Kartınızdan bir sürü pinin fışkırıyor olması o pinlerin kullanışlı olduğu anlamına gelmez.)
3-)Oyuncaklar
FPGA sizin için aşırı maliyetten başka bir değil ama yine'de LED'leri VHDL ile yakmak zevkli...

cicjoe

Bu 3. secenek icin tavsiye edebileceginiz bi kart var mi? Ben minimum icerikte, sadece calismasina yetecek kadar donanimla bir kart ariyorum.. Logic unit sayisi da az olsa iyi olur. Basit denemeler yapmak icin, ogrenmek icin, ucuz yollu..

MC_Skywalker

#9
FPGA dalmadan önce CPLD ile haşırneşir olmaya başlamak bence en iyi yol. FGPA gibi CPU tasarımı yapaya yetmez ama birkaçtane TTL entegreyi tek IC içinde kullanıca PCB de yer tasarrufu yapmış oluyorsun.

FPGA lar ile oynamak için http://www.digilentinc.com/Products/Detail.cfm?NavPath=2,400,790&Prod=BASYS2 

E_Kk

@speak48 haklı, şuanda Türkiye de gömülü sistem üzerine çalışmak isteyen birinin direkt fpga ile başlaması biraz zor görünüyor. Fpga den para kazanana kadar biraz arm, c, embeded os, rtos gibi araçlardan ekmek yemesi lazım. Ama bu arada fpga ile çalışmak isteyen birinin de yan cebinde herzaman bir fpga olmalı gerektiğine çıkarıp kullanabilmeli diye düşünüyorum.

Alanlarının farklı olmasına gelince zaten kimse aynı demiyor. Hatta gömülü Sistem çözümü düşünürken ikisinin birbirinin alternatifi olarak düşünülmesi de çoğu zamandoğru değil. Gidip basit uygulamalarda Fantazi olsun diye fpga kullanamazsınız. Ama paralel işlemler gerektiren herseyin kesinlikle zamanında olması gereken ve en ufak kilitlenmenin bile hayati önem taşıdığı bir sistemde de işlemciyi pek alternatif olarak düşünmezsiniz.

Ben fpga için birşeyi basamak yapmak gerektiğini düşünmüyorum. Fakat iyi bir Dijital Elektronik bilgisi ve sabır gerektiğini düşünüyorum. Ve başlangıç için de altera ve vhdl diyorum. Vhdl biraz ağır olabilir ama ben vhdl i Assembly ye benzetiyorum. Bütün sistem tamamen kontrolünüzün altında olduğunu hissediyorsunuz. Verilog da c ye benzediği için bende o hissi uyandırmıyor nedense. Daha sonra projeye göre xilinx de kullanılabilir. Sanki xilinx in Çözümleri daha güçlü gibi.

Başlangıç için @Icarus un 3. Seçenekte oyuncak diye tabir ettiği kitlerden başlamak en mantıklısı daha sonra 2.ve 1. Seçenektekilere geçmesi daha kolay olur. Herkes imkanına göre bu basit Boardların birini alabilir. Ben spartan 3an starter kit ile başladım kitin üstünde baya bişey var sonra altera de1 kullandım biraz. Bunlar başlangıç için çok tatmin edici kitler.
"Meselâ: Hendese bir fendir. Onun hakikatı ve nokta-i müntehası, Cenab-ı Hakk'ın İsm-i Adl ve Mukaddir'ine yetişip, hendese âyinesinde o ismin hakîmane cilvelerini haşmetiyle müşahede etmektir."Sözler

göksu

Ben fpga kullanrak bi proje yapmak istiyorum,vhdl yerine c kullanabilirmiyim?
projem de sese yönelen ve engelden kaçan bi robot yapmak,pic,aurdino yerine bunu kullanmak istedim..tesdekkür ederim şimdiden

MC_Skywalker

#12
@göksu
FPGA bir donanımdır önce onu hatırlatayım. Pic, adruno gibi değildir.  bildiğin mantık kalıparı, Filip Filoplar vb. ile tasarım yaparsın. C-to-hardware compilers kullanarak C dilinde yazdıkların donanım tanımlamasına çevrilir.

google amcaya sorduğumda bana bulduğu C-to-hardware compilers.
Alıntı Yap
    AutoESL
    Bach-C (Sharp)
    C2H (Altera)
    C2R (Cebatech)
    C2Verilog (CompiLogic / C Level Design / Synposys)
    Carte / MAP (SRC Computers)
    Cascade (CriticalBlue)
    CASH (Carnegie Mellon University, Pittsburgh)
    Catapult-C (Mentor Graphics)
    CHC (Altium)
    CHiMPS (University of Washington (Seattle) / Xilinx / soon commercial?)
    C-to-Verilog (Haifa)
    Comrade (TU Braunschweig E.I.S. + TU Darmstadt E.S.A.)
    CVC (Hitachi)
    Cyber (NEC)
    Daedalus (Uni Amsterdam, Uni Leiden)
    DIME-C (Nallatech)
    eXCite (YXI)
    FP-Compiler (Altera)
    FpgaC (OpenSource)
    GarpCC (Callahan, University of California at Berkeley)
    GAUT (UBS-Universität Frankreich)
    Handel-C (Celoxica)
    Hthreads (University of Kansas)
    Impulse-C (Impulse Accelerated Technologies)
    Mitrion-C (Mitrionics)
    DWARV (TU Delft)
    NIMBLE (Synopsys, E.I.S. Braunschweig)
    NISC (University of California, Irvine)
    PICO-Express (Synfora => Synopsys)
    PRISC (Harvard University, Cambridge)
    ROCCC (University of California, Riverside)
    SPARK (University of California, Irvine)
    SpecC (Gajski et al.)
    Trident (OpenSource, Los Alamos National Laboratory)
    UGH
    VEAL
    vfTools (Vector Fabric)
    xPilot (University of California, Los Angeles)

göksu

tesekkür ederim,fpga veya aurdino kartlar donanımdır ben böle biliyorumikendine ait diller olan ve dediğiniz gibi c den farklı dillere dönüştürülen dillerlee programlananıp kullanılabilrler,test twch edilirler..

quadron

Öncelikle neden FPGA öğreneceğinize karar vermeniz gerekiyor. DSP, görüntü işleme, gömülü sistemler gibi alanlarda araştırmalar yapip küçük projeler belirleyin. İlla başlangıçta FPGA kitininizin olmasına gerek yok.Xilinx in İSE veya Altera nın Quartus programini kurmaniz yeterli. Sonra dilinizi seçin verilog - VHDL -> tabi bunu alt yapiniza göre ayarlayin. Baslangic için altera nın DE0 veya DE0- nano boardları baslangic için oldukça verimli. Eğitim için ise çizgi - tagem videolarina ve alteranin http://www.altera.com/education/training/curriculum/fpga/trn-fpga.html sayfasi faydali olacaktır. Buradaki videlorda iyi http://m.youtube.com/channel/UCbUe5SLMSZlSzCuJP81lU0A olacaktır. İyi çalışmalar.