Picproje FPGA Eğitimi Hakkında Bilgiler

Başlatan muuzoo, 23 Temmuz 2015, 22:32:09

muuzoo

#30
Alıntı yapılan: Farukc - 04 Ağustos 2015, 14:23:33
Ben şuan verilog öğreniyorum nedenini bilmiyorum ama vhdlden bir türlü elektrik alamadım. Sanıyorum verilogdan vhdl'e geçiş pek sorun olmaz. Çünkü intternetteki örnek uygulamalara falan baktım çok benzerlik var.

(Resim gizlendi görmek için tıklayın.)

Yanlız bu şemayı anlayamadım. Mesela profesyonel bir sistem tasarımı yapsak veriog yeteli gelmeyecekmi? Yoksa grafiğimi yanlış yorumladım?

Yanlış yorumlamışsınız. Her iki dil de yeterli. Fark sınırlara doğru ortaya çıkıyor. VHDL tasarım aşamasından itibaren "sistem modelleme" amacıyla inşa edildiği için bu noktada bir tık önde ama bakarsanız ASIC transfer konusunda (gate level, transistor level) geride kalıyor. Buna karşın Verilog doğası gereki ASIC için daha yatkın. Verilog kodundan, araçlarla silikon seviyesine geçebiliyorsunuz.

Şu noktada bu farkı görecek kadar sınırda tasarım ve modelleme yapmıyoruz (kendim de yapmadım) o açıdan kafanızda bir soru işaret oluşmasına gerek yok. Kendinizi hangi dilde rahat hissediyorsanı o dilden devam edin.

VHDL-Verilog tartışması biraz şuna benziyor ; "Pepsi-Coca Cola" karşılaştırması gibi (internette görmüştüm, güzel benzetme)
http://www.bitweenie.com/listings/verilog-vs-vhdl/
gunluk.muuzoo.gen.tr - Kişisel karalamalarım...

muhendisbey

Hocam yanlış olmasın, verilog bilgisayar tabanlı programlama bilenler için, VHDL ise daha çok elektronik tabanlı kişiler için daha kolay bir dil olarak duymuştum.
Zulmü alkışlayamam, zalimi asla sevemem; Gelenin keyfi için geçmişe kalkıp sövemem.

MC_Skywalker

Alıntı yapılan: fbkaya - 24 Temmuz 2015, 14:48:38

birşey daha sormak istiyorum,
şu: http://www.dr.com.tr/Kitap/Her-Yonuyle-FPGA-ve-VHDL/Sedat-Karatas/Egitim-Basvuru/Bilgisayar/urunno=0000000546241
veya şu: http://www.dr.com.tr/Kitap/VHDL-ile-Sayisal-Tasarim-ve-FPGA-Uygulamalari/Mehmet-Ali-Cavuslu/Egitim-Basvuru/Bilgisayar/urunno=0000000637002

kitap yardımcı olabilir mi ?

Teşekkürler.

Her Yönüyle FPGA ve VHDL kitabını aldım kitabın anlatmı iyi fakat ben tek başına çalışmayı beceremedim, hemde nakit paraya ihtiyacım olduğu için kitabı ve DE0 nanoyu sattım. kitabın yazarlarının sitesi http://fpganedir.com/


seyityildirim

Her yönüyle FPGA ve VHDL kitabını ben de almıştım. okuldaki dersle birlikte ancak takip edebiliyordum. ben anlatım tarzını açıkcası sevmedim ama konu da az çok temeli olanın kavrayabileceği bir kitap.

mtologlu

5.08 tarihinde sorduğum soruya hala cevap alamadım Anladığım kadarıyla BASYS3 kitiiyle sadece kendi üzerindeki FPGA programlamabiliyor, kendi donanımızı yapacak olursak farklı bir FPGA programlayamayacağız. Doğru mu anlıyorum?
Murat Tologlu, TA1DB

muuzoo

Alıntı yapılan: mtologlu - 13 Ağustos 2015, 21:26:33
5.08 tarihinde sorduğum soruya hala cevap alamadım Anladığım kadarıyla BASYS3 kitiiyle sadece kendi üzerindeki FPGA programlamabiliyor, kendi donanımızı yapacak olursak farklı bir FPGA programlayamayacağız. Doğru mu anlıyorum?

Net bir cevabım yok, sadece olasılık var gibi. Kart dökümanına baktığımızda (http://www.digilentinc.com/Data/Products/BASYS3/Basys3_rm.pdf) 4. sayfada JTAG yapısını vermiş. Normalde harici bir programlayıcıyı kullanabilmek için JTAG header bırakmışlar (JA portunun alt sırası). Oradan başka bir kartın harici girişine bağlantı yaparak görüp görmediğine bakılabilir sanki ama şemayı da bir incelemek lazım.
gunluk.muuzoo.gen.tr - Kişisel karalamalarım...

muuzoo

#36
Merhaba arkadaşlar,

Eğitim içeriği için şu şekilde bir taslak oluşturduk @alicavuslu ile beraber. https://drive.google.com/file/d/0B3OljfdwIkLOa01NRnNaWGhacnc/view?usp=sharing
İnceleyip geri bildirim yaparsanız seviniriz.

Bir de gelecek arkadaşlardan ricam, eğitimin daha sağlıklı olabilmesi için  3-4 soruluk şu kısa anketi doldurmaları. Anket sonucuna göre eğitim içeriğini biraz daha şekillendireceğiz.

Anket : http://goo.gl/forms/tYFafakgkb

Eğitim için @alicavuslu  ile beraber 17 Ekim tarihini düşünüyoruz ama @MrDarK gerekli görüşmeleri yaptıktan sonra tarih netleşecektir. Gelecek arkadaşların yanında kartları olursa eğitim daha verimli olacaktır. En azından temel örnek uygulamaları yapmış oluruz.
gunluk.muuzoo.gen.tr - Kişisel karalamalarım...

mtologlu

Merhaba
Maalesef 14-19 Ekim şehir dışında olacağım, eğitim gününün 24 yada 31 Ekim olmasını çok arzu ederim ( olmazsa da sağlık olsun) bu konuda bir anket yapılması uygun olur Selamlar saygılar
Murat Tologlu, TA1DB

ercan_t

merhaba,
Eğitimde kullanılacak yazılımlar, IDE isimleri  ve versiyonları vs belli mi?
Ben Xilinx ISE Design Suite  indirdim(10.1 , 14.5)
digilent basts 3 için hangi yazılımlar kullanılacak?
Kit almışken eğitim öncesi ön hazırlık yapmak istiyorum.


alicavuslu

Merhabalar,

ISE yerine Vivado kullanılacaktır. En güncel versiyonu 2015.2. BASYS 3 kartında bulunan FPGA'yı ISE desteklememektedir.

Proje oluşturma için Youtube'da video mevcut. Reklam olur gerekçesi ile paylaşmak istemiyorum. İsteyen arkadaşlar bana özelden yazarlarsa video linkini kendilerine gönderebilirim.


fryrmnd

Hocam Vivado indirmek için register oldum. Yok adres yok company...
Bu ücretsiz değil mi?
Yanlış yol mu izliyom?

sovalye

Webpack i ucretsiz indirebilirsiniz ,o alanlara bir seyler yazin yeter.

fryrmnd

Tama hocam.
Anlaşılan webpack için de doldurcaz

Firzen

Alıntı yapılan: alicavuslu - 24 Temmuz 2015, 13:05:48
Anlaşılan eğitimde neler anlatılacağını ve yapılacağını çok iyi biliyorsunuz...

Hocam öncelikle merhabalar;
Anlatacağınız konulardan bahsetmişsinizde bende orta halli FPGA (VHDL) kullanıcısıyım. Acaba SPI,I2C,PWM gibi konulara girecek misiniz?
SPI ve I2C hakkında bir kaç kitaptan bilgi okudum ama pek verimli bilgiler alamadım PEDRONI hariç.
Bunun yanı sıra isterseniz PWM konusunda yazdığım kodu size gönderebilirim eğer derste işleyeceksiniz. Çünkü Benim gibi güç elektroniği ile uğraşanların en çok sevdiği kod PWM'dir.
Videolarım;

https://www.youtube.com/watch?v=UcU5utibPc0
https://www.youtube.com/watch?v=Gwl1vLBDQsg
Kararsız...

alicavuslu

Merhabalar Hocam,

Oluşturduğumuz anketten çıkan genel kanı eğitimin başlangıç düzeyinde olmasına yönelik. SPI ve I2C için ileriye yönelik dokuman hazirlamaya başaldım. Bitince sizler paylaşabilirim.

PWM ile alakalı videolarınız gerçekten çok güzel. Kodu gönderebilirsiniz. İsterseniz daha generic ve kontrollü hale de getirebiliriz. Eğitim için de desteklerinizi her zaman bekleriz.