VHDL'de akla takılan sorular

Başlatan demirkan1, 14 Ağustos 2011, 14:49:32

demirkan1

Merhaba arkadaşlar,
vhdl'de aklıma takılan 2 soru var bilen arkaşların cevabını bekliyorum.
1- process içindeki tüm komutların paralel çalıştığı söyleniyor bu konuya bir açıklık getirmek istiyorum. eger birden fazla if then döngüsü varsa hepsi paralelmi çalışacak yoksa sıralımı çalışacak,, yoksa her process ayrı ayrı paralelmi çalışıyor
2- osilatör 50mhz her clk 20ns ise 10ns kare dalga üretebilirmiyiz
saygılarımla


 

teknikelektronikci

procces ler kendi arasinda paralel calisir ama process ler seri calisir
Ey Türk istikbalinin evlâdı! İşte, bu ahval ve şerâit içinde dahi, vazifen; Türk İstiklâl ve Cumhuriyetini kurtarmaktır! Muhtaç olduğun kudret, damarlarındaki asil kanda mevcuttur!

salih18200

process içindeki komutlar aynen mikro işlemcilerde olduğu gibi sıralı çalışır. birden çok process varsada bunlar kendi arasında paralel çalışmış olur. process içerisine yazılan kodlar flipfloplardan oluşur. Yani process içerisine yazılan kodların fpgadaki karşılığı flipfloplardır. Process dışına yazılan kodlarsa direk lojik kapılar şeklinde (and,or vb)çalışır. Kısacası process içi flipflop, process dışarısı lojik kapı şeklinde sentezlenmiş olur.

demirkan1

bende öyle düşünmüştüm aklıma takılan 1 soruya yanıt buldum teşekürler. 2 soru hakkında yardımcı olacak arkadaş varmı

teknikelektronikci

altera megawizard builder ile oluyor gibi gözüküyor ama pll ile denemye calisdim en azindan 10 ns icin izin verdi gibi gözüküyor ama devam edip denemedim altera varsa megawizard I/o bölümündne altpll i calistirarak deneyebilirsiniz
Ey Türk istikbalinin evlâdı! İşte, bu ahval ve şerâit içinde dahi, vazifen; Türk İstiklâl ve Cumhuriyetini kurtarmaktır! Muhtaç olduğun kudret, damarlarındaki asil kanda mevcuttur!

z

50Mhz zaten 20ns peryoda sahip. Eger iceride PLL tarzi bir sistem yoksa disaridan PLL takmak istemezsen aklima tek bir sey geliyor. RC devreleri ile fekans katlama.
Bunun icin bacaklara RC baglamayi goze alman gerekecek. Fakat tam kare dalga yapmak imkansiza yakin. Ton+Toff 10ns yapilabilir fakat Ton=Toff'u unut.

Bunun icin dual edge metodunu kullanacaksin.

Sinyali bir inverterden gecirdiginde, invertli ve invertsiz iki sinyalin olur. Bunlarin cikan kenarlarini kullanarak RC devresi ile puls uretirsin ve orlarsin. Bu sana ana sinyalin 2 kati frekansda sinyal olusturur.




Bana e^st de diyebilirsiniz.   www.cncdesigner.com

salih18200


demirkan1

cevaplar için tşk.ederim 100mhz yazılımsal olarak olmuyacak anlaşılan, bir sorum daha olacak 20ns sahip fpga nın kendi  clk'sı  ile ben her yükselen kenarı kullanarak 40ns clk elde ediyorum ve adc entegresine gönderiyorum ancak hız yeterli gelmiyor, 25 veya 30ns priodluk bir clk belki işimi görebilir .Sanırım bu yazılımsal olarak yapılabilir. vhdl ile bu konuda uygulama yapan arkadaşlar bilgilerini benimle paylaşırlarsa memnun olurum, hatta konuyu daha iyi anlayabilmem için küçük bir kod örneği veren olursa çok memnun olurum.
saygılarımla

Ersin

Ne yapmak istediğin kendin de bilmiyorsun galiba, tam olarak ne yapmak istediğini yazarsan daha net cevaplar alabilirsin.Yapmak istediğin osiloskop ise , evet 100mhz lik osiloskop yapmaya düşünüyom diye yazabilirsin.

demirkan1

sayın Ersin ne yapmak istediğimi gayet iyi biliyorum bir önceki mesajımda yazdım adc entegresi kullanıyorum hız yeterli gelmiyor mesajları yavaş ve anlayarak okursanız bence daha anlaşılır olur.uslubunuzu hiç begenmedim
saygılarımla.

teknikelektronikci

100 mhz yazilimsal olmayacaksa donanimsal da olmayacak demektir cünkü benim bildigim bu isin donanimsali yok siz hangi fpga yi kullaniyorsunuz ? yukarida da söyledim megawizarda bir göz atin o da zaten yazilimsal olmu$ oluyor sadece sizin yerinize vhdl kodlar üretiyor
Ey Türk istikbalinin evlâdı! İşte, bu ahval ve şerâit içinde dahi, vazifen; Türk İstiklâl ve Cumhuriyetini kurtarmaktır! Muhtaç olduğun kudret, damarlarındaki asil kanda mevcuttur!

demirkan1

xilinx spartan3 kullanıyorum 40ns periotda clk üreterek adc okuyorum ancak biraz daha hızlı okumam gerekiyor 25ns veya 30ns lik clk üretirsem adc okuma işlem hızım artacaktır.bu frekansın yeterli olacagını düşünüyorum

muuzoo

Spartan3 serisinde DCM denen "Digital Clock Manager" mevcut. Bu sayede istediğiniz frekansı elde edebilirsiniz. Clock girişinizi DCM'e girer DCM çıkışını da ana tasarımınızda kullanırsınız.

Uygulama notu: www.xilinx.com/support/documentation/application_notes/xapp462.pdf
gunluk.muuzoo.gen.tr - Kişisel karalamalarım...

teknikelektronikci

bugün kafam karisdi calistiigm firmada tüm elemanlar fpga üzerine uzman bende bu soruyu sorunca procces dahil her seyin paralel calistigini söylediler (procces icindekilerde) bir clock geldiginde her seyin bir clockda islendigini söylediler simdi nedir bu ? benim gercektenj kafam karisti fpga konusunda iyi değilim kendi kendime ögrenmeye calisiyorum ama is yerindekiler kafami karistirdi

fxdev arkadasim ne dersin senden aciklama bekliyoruz ;)
Ey Türk istikbalinin evlâdı! İşte, bu ahval ve şerâit içinde dahi, vazifen; Türk İstiklâl ve Cumhuriyetini kurtarmaktır! Muhtaç olduğun kudret, damarlarındaki asil kanda mevcuttur!

salih18200

Circuit Design with VHDL
Volnei A. Pedroni

Bölüm 6 Sequential Code
Sayfa 103

Alıntı YapPROCESSES, FUNCTIONS, and PROCEDURES are the only sections of code that are executed sequentially.