Aceminin FPGA soruları

Başlatan Mucit23, 16 Ekim 2020, 10:03:11

Mucit23

Selamlar.

Bu aralar FPGA programlama işine merak saldım. Bir hocamdan Basys2 kitini ödünç aldım. Başlangıç için bu kart ile kart üzerinde uygulayabileceğim temel uygulamaları yapmak bu sırada verilog veya VHDL üzerindeki temel yapıları öğrenmek istiyorum. Bu başlık altında yaşadığım sorunları paylaşmak istiyorum.

Başlangıç için ise design suite 14.7  sürümünü bilgisayarıma indirip kurdum. Öğrenci mail adresim ile WebPack sürümü için lisans aldım.

Daha sonra okulda verilen FPGA dersinden hatırladığım kadarıyla ise üzerinde Bassy2 kartı için sıfırdan bir proje oluşturup çok basit LED=Switch işlemi yapmak istedim.

Projeyi derlediğimde Sentezleme aşamasını geçiyorum fakat Implement kısmında program hata veriyor.


Bu hatanın sebebi nedir? UCF dosyasını internetten indirdim ama ordaki bütün tanımlamalara hata veriyor.

kralsam

Sanki bir yerde led yerine Led yazmışsın gibi düşünüyorum

Mucit23

ucf dosyasının içeriği bu şekilde.
# This file is a general .ucf for Basys2 rev C board
# To use it in a project:
# - remove or comment the lines corresponding to unused pins
# - rename the used signals according to the project

# clock pin for Basys2 Board
NET "mclk" LOC = "B8"; # Bank = 0, Signal name = MCLK
NET "uclk" LOC = "M6"; # Bank = 2, Signal name = UCLK
NET "mclk" CLOCK_DEDICATED_ROUTE = FALSE;
NET "uclk" CLOCK_DEDICATED_ROUTE = FALSE;

# Pin assignment for EppCtl
# Connected to Basys2 onBoard USB controller
NET "EppAstb" LOC = "F2"; # Bank = 3
NET "EppDstb" LOC = "F1"; # Bank = 3
NET "EppWR"      LOC = "C2"; # Bank = 3

NET "EppWait" LOC = "D2"; # Bank = 3


NET "EppDB<0>" LOC = "N2"; # Bank = 2
NET "EppDB<1>" LOC = "M2"; # Bank = 2
NET "EppDB<2>" LOC = "M1"; # Bank = 3
NET "EppDB<3>" LOC = "L1"; # Bank = 3
NET "EppDB<4>" LOC = "L2"; # Bank = 3
NET "EppDB<5>" LOC = "H2"; # Bank = 3
NET "EppDB<6>" LOC = "H1"; # Bank = 3
NET "EppDB<7>" LOC = "H3"; # Bank = 3


# Pin assignment for DispCtl
# Connected to Basys2 onBoard 7seg display
NET "seg<0>" LOC = "L14"; # Bank = 1, Signal name = CA
NET "seg<1>" LOC = "H12"; # Bank = 1, Signal name = CB
NET "seg<2>" LOC = "N14"; # Bank = 1, Signal name = CC
NET "seg<3>" LOC = "N11"; # Bank = 2, Signal name = CD
NET "seg<4>" LOC = "P12"; # Bank = 2, Signal name = CE
NET "seg<5>" LOC = "L13"; # Bank = 1, Signal name = CF
NET "seg<6>" LOC = "M12"; # Bank = 1, Signal name = CG
NET "dp" LOC = "N13"; # Bank = 1, Signal name = DP

NET "an<3>" LOC = "K14"; # Bank = 1, Signal name = AN3
NET "an<2>" LOC = "M13"; # Bank = 1, Signal name = AN2
NET "an<1>" LOC = "J12"; # Bank = 1, Signal name = AN1
NET "an<0>" LOC = "F12"; # Bank = 1, Signal name = AN0

# Pin assignment for LEDs
NET "Led<7>" LOC = "G1" ; # Bank = 3, Signal name = LD7
NET "Led<6>" LOC = "P4" ; # Bank = 2, Signal name = LD6
NET "Led<5>" LOC = "N4" ;  # Bank = 2, Signal name = LD5
NET "Led<4>" LOC = "N5" ;  # Bank = 2, Signal name = LD4
NET "Led<3>" LOC = "P6" ; # Bank = 2, Signal name = LD3
NET "Led<2>" LOC = "P7" ; # Bank = 3, Signal name = LD2
NET "Led<1>" LOC = "M11" ; # Bank = 2, Signal name = LD1
NET "led" LOC = "M5" ;  # Bank = 2, Signal name = LD0

# Pin assignment for SWs
NET "sw<7>" LOC = "N3";  # Bank = 2, Signal name = SW7
NET "sw<6>" LOC = "E2";  # Bank = 3, Signal name = SW6
NET "sw<5>" LOC = "F3";  # Bank = 3, Signal name = SW5
NET "sw<4>" LOC = "G3";  # Bank = 3, Signal name = SW4
NET "sw<3>" LOC = "B4";  # Bank = 3, Signal name = SW3
NET "sw<2>" LOC = "K3";  # Bank = 3, Signal name = SW2
NET "sw<1>" LOC = "L3";  # Bank = 3, Signal name = SW1
NET "sw" LOC = "P11";  # Bank = 2, Signal name = SW0

NET "btn<3>" LOC = "A7";  # Bank = 1, Signal name = BTN3
NET "btn<2>" LOC = "M4";  # Bank = 0, Signal name = BTN2
NET "btn<1>" LOC = "C11"; # Bank = 2, Signal name = BTN1
NET "btn<0>" LOC = "G12"; # Bank = 0, Signal name = BTN0

# Loop back/demo signals
# Pin assignment for PS2
NET "PS2C"    LOC = "B1"  | DRIVE = 2  | PULLUP ; # Bank = 3, Signal name = PS2C
NET "PS2D"    LOC = "C3"  | DRIVE = 2  | PULLUP ; # Bank = 3, Signal name = PS2D

# Pin assignment for VGA
NET "HSYNC"  LOC = "J14"  | DRIVE = 2  | PULLUP ; # Bank = 1, Signal name = HSYNC
NET "VSYNC"  LOC = "K13"  | DRIVE = 2  | PULLUP ; # Bank = 1, Signal name = VSYNC

NET "OutRed<2>"  LOC = "F13"  | DRIVE = 2  | PULLUP ; # Bank = 1, Signal name = RED2
NET "OutRed<1>"  LOC = "D13"  | DRIVE = 2  | PULLUP ; # Bank = 1, Signal name = RED1
NET "OutRed<0>"  LOC = "C14"  | DRIVE = 2  | PULLUP ; # Bank = 1, Signal name = RED0
NET "OutGreen<2>"  LOC = "G14"  | DRIVE = 2  | PULLUP ; # Bank = 1, Signal name = GRN2
NET "OutGreen<1>"  LOC = "G13"  | DRIVE = 2  | PULLUP ; # Bank = 1, Signal name = GRN1 
NET "OutGreen<0>"  LOC = "F14"  | DRIVE = 2  | PULLUP ; # Bank = 1, Signal name = GRN0 
NET "OutBlue<2>"  LOC = "J13"  | DRIVE = 2  | PULLUP ; # Bank = 1, Signal name = BLU2
NET "OutBlue<1>"  LOC = "H13"  | DRIVE = 2  | PULLUP ; # Bank = 1, Signal name = BLU1 

# Loop Back only tested signals
NET "PIO<72>" LOC = "B2"  | DRIVE = 2  | PULLUP ; # Bank = 1, Signal name = JA1
NET "PIO<73>" LOC = "A3"  | DRIVE = 2  | PULLUP ; # Bank = 1, Signal name = JA2
NET "PIO<74>" LOC = "J3"  | DRIVE = 2  | PULLUP ; # Bank = 1, Signal name = JA3
NET "PIO<75>" LOC = "B5"  | DRIVE = 2  | PULLUP ; # Bank = 1, Signal name = JA4

NET "PIO<76>" LOC = "C6"  | DRIVE = 2  | PULLUP ; # Bank = 1, Signal name = JB1
NET "PIO<77>" LOC = "B6"  | DRIVE = 2  | PULLUP ; # Bank = 1, Signal name = JB2
NET "PIO<78>" LOC = "C5"  | DRIVE = 2  | PULLUP ; # Bank = 1, Signal name = JB3
NET "PIO<79>" LOC = "B7"  | DRIVE = 2  | PULLUP ; # Bank = 1, Signal name = JB4

NET "PIO<80>" LOC = "A9"  | DRIVE = 2  | PULLUP ; # Bank = 1, Signal name = JC1
NET "PIO<81>" LOC = "B9"  | DRIVE = 2  | PULLUP ; # Bank = 1, Signal name = JC2
NET "PIO<82>" LOC = "A10" | DRIVE = 2  | PULLUP ; # Bank = 1, Signal name = JC3
NET "PIO<83>" LOC = "C9"  | DRIVE = 2  | PULLUP ; # Bank = 1, Signal name = JC4

NET "PIO<84>" LOC = "C12"  | DRIVE = 2  | PULLUP ; # Bank = 1, Signal name = JD1
NET "PIO<85>" LOC = "A13"  | DRIVE = 2  | PULLUP ; # Bank = 2, Signal name = JD2
NET "PIO<86>" LOC = "C13"  | DRIVE = 2  | PULLUP ; # Bank = 1, Signal name = JD3
//NET "PIO<87>" LOC = "D12"  | DRIVE = 2  | PULLUP ; # Bank = 2, Signal name = JD4

led ve sw pinlerini kodda kullandığım şekilde editledim.

Derleme çıktısı da bu şekilde
Started : "Translate".
Running ngdbuild...
Command Line: ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc Basys2_100_250General.ucf -p xc3s100e-cp132-4 Deneme.ngc Deneme.ngd

Command Line: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\ngdbuild.exe
-intstyle ise -dd _ngo -nt timestamp -uc Basys2_100_250General.ucf -p
xc3s100e-cp132-4 Deneme.ngc Deneme.ngd

Reading NGO file "C:/Users/ferha/Desktop/First_Project/First/Deneme.ngc" ...
Gathering constraint information from source properties...
Done.

Annotating constraints to design from ucf file "Basys2_100_250General.ucf" ...
Resolving constraint associations...
Checking Constraint Associations...
ERROR:ConstraintSystem:59 - Constraint <NET "mclk" LOC = "B8";>
   [Basys2_100_250General.ucf(7)]: NET "mclk" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "mclk" LOC = "B8";> [Basys2_100_250General.ucf(7)]' could not be found
   and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "uclk" LOC = "M6";>
   [Basys2_100_250General.ucf(8)]: NET "uclk" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "uclk" LOC = "M6";> [Basys2_100_250General.ucf(8)]' could not be found
   and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "mclk" CLOCK_DEDICATED_ROUTE =
   FALSE;> [Basys2_100_250General.ucf(9)]: NET "mclk" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "uclk" CLOCK_DEDICATED_ROUTE =
   FALSE;> [Basys2_100_250General.ucf(10)]: NET "uclk" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "EppAstb" LOC = "F2";>
   [Basys2_100_250General.ucf(14)]: NET "EppAstb" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "EppAstb" LOC = "F2";> [Basys2_100_250General.ucf(14)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "EppDstb" LOC = "F1";>
   [Basys2_100_250General.ucf(15)]: NET "EppDstb" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "EppDstb" LOC = "F1";> [Basys2_100_250General.ucf(15)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "EppWR"       LOC = "C2";>
   [Basys2_100_250General.ucf(16)]: NET "EppWR" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "EppWR"       LOC = "C2";> [Basys2_100_250General.ucf(16)]' could not
   be found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "EppWait" LOC = "D2";>
   [Basys2_100_250General.ucf(18)]: NET "EppWait" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "EppWait" LOC = "D2";> [Basys2_100_250General.ucf(18)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "EppDB<0>" LOC = "N2";>
   [Basys2_100_250General.ucf(21)]: NET "EppDB<0>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "EppDB<0>" LOC = "N2";> [Basys2_100_250General.ucf(21)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "EppDB<1>" LOC = "M2";>
   [Basys2_100_250General.ucf(22)]: NET "EppDB<1>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "EppDB<1>" LOC = "M2";> [Basys2_100_250General.ucf(22)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "EppDB<2>" LOC = "M1";>
   [Basys2_100_250General.ucf(23)]: NET "EppDB<2>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "EppDB<2>" LOC = "M1";> [Basys2_100_250General.ucf(23)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "EppDB<3>" LOC = "L1";>
   [Basys2_100_250General.ucf(24)]: NET "EppDB<3>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "EppDB<3>" LOC = "L1";> [Basys2_100_250General.ucf(24)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "EppDB<4>" LOC = "L2";>
   [Basys2_100_250General.ucf(25)]: NET "EppDB<4>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "EppDB<4>" LOC = "L2";> [Basys2_100_250General.ucf(25)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "EppDB<5>" LOC = "H2";>
   [Basys2_100_250General.ucf(26)]: NET "EppDB<5>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "EppDB<5>" LOC = "H2";> [Basys2_100_250General.ucf(26)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "EppDB<6>" LOC = "H1";>
   [Basys2_100_250General.ucf(27)]: NET "EppDB<6>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "EppDB<6>" LOC = "H1";> [Basys2_100_250General.ucf(27)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "EppDB<7>" LOC = "H3";>
   [Basys2_100_250General.ucf(28)]: NET "EppDB<7>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "EppDB<7>" LOC = "H3";> [Basys2_100_250General.ucf(28)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "seg<0>" LOC = "L14";>
   [Basys2_100_250General.ucf(33)]: NET "seg<0>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "seg<0>" LOC = "L14";> [Basys2_100_250General.ucf(33)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "seg<1>" LOC = "H12";>
   [Basys2_100_250General.ucf(34)]: NET "seg<1>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "seg<1>" LOC = "H12";> [Basys2_100_250General.ucf(34)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "seg<2>" LOC = "N14";>
   [Basys2_100_250General.ucf(35)]: NET "seg<2>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "seg<2>" LOC = "N14";> [Basys2_100_250General.ucf(35)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "seg<3>" LOC = "N11";>
   [Basys2_100_250General.ucf(36)]: NET "seg<3>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "seg<3>" LOC = "N11";> [Basys2_100_250General.ucf(36)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "seg<4>" LOC = "P12";>
   [Basys2_100_250General.ucf(37)]: NET "seg<4>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "seg<4>" LOC = "P12";> [Basys2_100_250General.ucf(37)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "seg<5>" LOC = "L13";>
   [Basys2_100_250General.ucf(38)]: NET "seg<5>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "seg<5>" LOC = "L13";> [Basys2_100_250General.ucf(38)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "seg<6>" LOC = "M12";>
   [Basys2_100_250General.ucf(39)]: NET "seg<6>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "seg<6>" LOC = "M12";> [Basys2_100_250General.ucf(39)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "dp" LOC = "N13";>
   [Basys2_100_250General.ucf(40)]: NET "dp" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "dp" LOC = "N13";> [Basys2_100_250General.ucf(40)]' could not be found
   and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "an<3>" LOC = "K14";>
   [Basys2_100_250General.ucf(42)]: NET "an<3>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "an<3>" LOC = "K14";> [Basys2_100_250General.ucf(42)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "an<2>" LOC = "M13";>
   [Basys2_100_250General.ucf(43)]: NET "an<2>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "an<2>" LOC = "M13";> [Basys2_100_250General.ucf(43)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "an<1>" LOC = "J12";>
   [Basys2_100_250General.ucf(44)]: NET "an<1>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "an<1>" LOC = "J12";> [Basys2_100_250General.ucf(44)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "an<0>" LOC = "F12";>
   [Basys2_100_250General.ucf(45)]: NET "an<0>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "an<0>" LOC = "F12";> [Basys2_100_250General.ucf(45)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "Led<7>" LOC = "G1" ;>
   [Basys2_100_250General.ucf(48)]: NET "Led<7>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "Led<7>" LOC = "G1" ;> [Basys2_100_250General.ucf(48)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "Led<6>" LOC = "P4" ;>
   [Basys2_100_250General.ucf(49)]: NET "Led<6>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "Led<6>" LOC = "P4" ;> [Basys2_100_250General.ucf(49)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "Led<5>" LOC = "N4" ;>
   [Basys2_100_250General.ucf(50)]: NET "Led<5>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "Led<5>" LOC = "N4" ;> [Basys2_100_250General.ucf(50)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "Led<4>" LOC = "N5" ;>
   [Basys2_100_250General.ucf(51)]: NET "Led<4>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "Led<4>" LOC = "N5" ;> [Basys2_100_250General.ucf(51)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "Led<3>" LOC = "P6" ;>
   [Basys2_100_250General.ucf(52)]: NET "Led<3>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "Led<3>" LOC = "P6" ;> [Basys2_100_250General.ucf(52)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "Led<2>" LOC = "P7" ;>
   [Basys2_100_250General.ucf(53)]: NET "Led<2>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "Led<2>" LOC = "P7" ;> [Basys2_100_250General.ucf(53)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "Led<1>" LOC = "M11" ;>
   [Basys2_100_250General.ucf(54)]: NET "Led<1>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "Led<1>" LOC = "M11" ;> [Basys2_100_250General.ucf(54)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "sw<7>" LOC = "N3";>
   [Basys2_100_250General.ucf(58)]: NET "sw<7>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "sw<7>" LOC = "N3";> [Basys2_100_250General.ucf(58)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "sw<6>" LOC = "E2";>
   [Basys2_100_250General.ucf(59)]: NET "sw<6>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "sw<6>" LOC = "E2";> [Basys2_100_250General.ucf(59)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "sw<5>" LOC = "F3";>
   [Basys2_100_250General.ucf(60)]: NET "sw<5>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "sw<5>" LOC = "F3";> [Basys2_100_250General.ucf(60)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "sw<4>" LOC = "G3";>
   [Basys2_100_250General.ucf(61)]: NET "sw<4>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "sw<4>" LOC = "G3";> [Basys2_100_250General.ucf(61)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "sw<3>" LOC = "B4";>
   [Basys2_100_250General.ucf(62)]: NET "sw<3>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "sw<3>" LOC = "B4";> [Basys2_100_250General.ucf(62)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "sw<2>" LOC = "K3";>
   [Basys2_100_250General.ucf(63)]: NET "sw<2>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "sw<2>" LOC = "K3";> [Basys2_100_250General.ucf(63)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "sw<1>" LOC = "L3";>
   [Basys2_100_250General.ucf(64)]: NET "sw<1>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "sw<1>" LOC = "L3";> [Basys2_100_250General.ucf(64)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "btn<3>" LOC = "A7";>
   [Basys2_100_250General.ucf(67)]: NET "btn<3>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "btn<3>" LOC = "A7";> [Basys2_100_250General.ucf(67)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "btn<2>" LOC = "M4";>
   [Basys2_100_250General.ucf(68)]: NET "btn<2>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "btn<2>" LOC = "M4";> [Basys2_100_250General.ucf(68)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "btn<1>" LOC = "C11";>
   [Basys2_100_250General.ucf(69)]: NET "btn<1>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "btn<1>" LOC = "C11";> [Basys2_100_250General.ucf(69)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "btn<0>" LOC = "G12";>
   [Basys2_100_250General.ucf(70)]: NET "btn<0>" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "btn<0>" LOC = "G12";> [Basys2_100_250General.ucf(70)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <NET "PS2C"    LOC = "B1"   |>
   [Basys2_100_250General.ucf(74)]: NET "PS2C" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "PS2C"    LOC = "B1"   |> [Basys2_100_250General.ucf(74)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(74)]: NET "PS2C" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(74)]: NET "PS2C" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "PS2D"    LOC = "C3"   |>
   [Basys2_100_250General.ucf(75)]: NET "PS2D" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "PS2D"    LOC = "C3"   |> [Basys2_100_250General.ucf(75)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(75)]: NET "PS2D" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(75)]: NET "PS2D" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "HSYNC"   LOC = "J14"  |>
   [Basys2_100_250General.ucf(78)]: NET "HSYNC" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "HSYNC"   LOC = "J14"  |> [Basys2_100_250General.ucf(78)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(78)]: NET "HSYNC" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(78)]: NET "HSYNC" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "VSYNC"   LOC = "K13"  |>
   [Basys2_100_250General.ucf(79)]: NET "VSYNC" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "VSYNC"   LOC = "K13"  |> [Basys2_100_250General.ucf(79)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(79)]: NET "VSYNC" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(79)]: NET "VSYNC" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "OutRed<2>"  LOC = "F13"  |>
   [Basys2_100_250General.ucf(81)]: NET "OutRed<2>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "OutRed<2>"  LOC = "F13"  |> [Basys2_100_250General.ucf(81)]' could not
   be found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(81)]: NET "OutRed<2>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(81)]: NET "OutRed<2>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "OutRed<1>"  LOC = "D13"  |>
   [Basys2_100_250General.ucf(82)]: NET "OutRed<1>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "OutRed<1>"  LOC = "D13"  |> [Basys2_100_250General.ucf(82)]' could not
   be found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(82)]: NET "OutRed<1>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(82)]: NET "OutRed<1>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "OutRed<0>"  LOC = "C14"  |>
   [Basys2_100_250General.ucf(83)]: NET "OutRed<0>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "OutRed<0>"  LOC = "C14"  |> [Basys2_100_250General.ucf(83)]' could not
   be found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(83)]: NET "OutRed<0>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(83)]: NET "OutRed<0>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "OutGreen<2>"  LOC = "G14"  |>
   [Basys2_100_250General.ucf(84)]: NET "OutGreen<2>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "OutGreen<2>"  LOC = "G14"  |> [Basys2_100_250General.ucf(84)]' could
   not be found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(84)]: NET "OutGreen<2>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(84)]: NET "OutGreen<2>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "OutGreen<1>"  LOC = "G13"  |>
   [Basys2_100_250General.ucf(85)]: NET "OutGreen<1>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "OutGreen<1>"  LOC = "G13"  |> [Basys2_100_250General.ucf(85)]' could
   not be found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(85)]: NET "OutGreen<1>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(85)]: NET "OutGreen<1>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "OutGreen<0>"  LOC = "F14"  |>
   [Basys2_100_250General.ucf(86)]: NET "OutGreen<0>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "OutGreen<0>"  LOC = "F14"  |> [Basys2_100_250General.ucf(86)]' could
   not be found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(86)]: NET "OutGreen<0>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(86)]: NET "OutGreen<0>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "OutBlue<2>"  LOC = "J13"  |>
   [Basys2_100_250General.ucf(87)]: NET "OutBlue<2>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "OutBlue<2>"  LOC = "J13"  |> [Basys2_100_250General.ucf(87)]' could
   not be found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(87)]: NET "OutBlue<2>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(87)]: NET "OutBlue<2>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "OutBlue<1>"  LOC = "H13"  |>
   [Basys2_100_250General.ucf(88)]: NET "OutBlue<1>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "OutBlue<1>"  LOC = "H13"  |> [Basys2_100_250General.ucf(88)]' could
   not be found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(88)]: NET "OutBlue<1>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(88)]: NET "OutBlue<1>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "PIO<72>" LOC = "B2"  |>
   [Basys2_100_250General.ucf(91)]: NET "PIO<72>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "PIO<72>" LOC = "B2"  |> [Basys2_100_250General.ucf(91)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(91)]: NET "PIO<72>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(91)]: NET "PIO<72>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "PIO<73>" LOC = "A3"  |>
   [Basys2_100_250General.ucf(92)]: NET "PIO<73>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "PIO<73>" LOC = "A3"  |> [Basys2_100_250General.ucf(92)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(92)]: NET "PIO<73>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(92)]: NET "PIO<73>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "PIO<74>" LOC = "J3"  |>
   [Basys2_100_250General.ucf(93)]: NET "PIO<74>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "PIO<74>" LOC = "J3"  |> [Basys2_100_250General.ucf(93)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(93)]: NET "PIO<74>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(93)]: NET "PIO<74>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "PIO<75>" LOC = "B5"  |>
   [Basys2_100_250General.ucf(94)]: NET "PIO<75>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "PIO<75>" LOC = "B5"  |> [Basys2_100_250General.ucf(94)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(94)]: NET "PIO<75>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(94)]: NET "PIO<75>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "PIO<76>" LOC = "C6"  |>
   [Basys2_100_250General.ucf(96)]: NET "PIO<76>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "PIO<76>" LOC = "C6"  |> [Basys2_100_250General.ucf(96)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(96)]: NET "PIO<76>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(96)]: NET "PIO<76>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "PIO<77>" LOC = "B6"  |>
   [Basys2_100_250General.ucf(97)]: NET "PIO<77>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "PIO<77>" LOC = "B6"  |> [Basys2_100_250General.ucf(97)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(97)]: NET "PIO<77>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(97)]: NET "PIO<77>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "PIO<78>" LOC = "C5"  |>
   [Basys2_100_250General.ucf(98)]: NET "PIO<78>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "PIO<78>" LOC = "C5"  |> [Basys2_100_250General.ucf(98)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(98)]: NET "PIO<78>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(98)]: NET "PIO<78>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "PIO<79>" LOC = "B7"  |>
   [Basys2_100_250General.ucf(99)]: NET "PIO<79>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "PIO<79>" LOC = "B7"  |> [Basys2_100_250General.ucf(99)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(99)]: NET "PIO<79>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(99)]: NET "PIO<79>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "PIO<80>" LOC = "A9"  |>
   [Basys2_100_250General.ucf(101)]: NET "PIO<80>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "PIO<80>" LOC = "A9"  |> [Basys2_100_250General.ucf(101)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(101)]: NET "PIO<80>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(101)]: NET "PIO<80>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "PIO<81>" LOC = "B9"  |>
   [Basys2_100_250General.ucf(102)]: NET "PIO<81>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "PIO<81>" LOC = "B9"  |> [Basys2_100_250General.ucf(102)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(102)]: NET "PIO<81>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(102)]: NET "PIO<81>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "PIO<82>" LOC = "A10" |>
   [Basys2_100_250General.ucf(103)]: NET "PIO<82>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "PIO<82>" LOC = "A10" |> [Basys2_100_250General.ucf(103)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(103)]: NET "PIO<82>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(103)]: NET "PIO<82>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "PIO<83>" LOC = "C9"  |>
   [Basys2_100_250General.ucf(104)]: NET "PIO<83>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "PIO<83>" LOC = "C9"  |> [Basys2_100_250General.ucf(104)]' could not be
   found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(104)]: NET "PIO<83>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(104)]: NET "PIO<83>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "PIO<84>" LOC = "C12"  |>
   [Basys2_100_250General.ucf(106)]: NET "PIO<84>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "PIO<84>" LOC = "C12"  |> [Basys2_100_250General.ucf(106)]' could not
   be found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(106)]: NET "PIO<84>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(106)]: NET "PIO<84>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "PIO<85>" LOC = "A13"  |>
   [Basys2_100_250General.ucf(107)]: NET "PIO<85>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "PIO<85>" LOC = "A13"  |> [Basys2_100_250General.ucf(107)]' could not
   be found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(107)]: NET "PIO<85>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(107)]: NET "PIO<85>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <NET "PIO<86>" LOC = "C13"  |>
   [Basys2_100_250General.ucf(108)]: NET "PIO<86>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

WARNING:ConstraintSystem - A target design object for the Locate constraint
   '<NET "PIO<86>" LOC = "C13"  |> [Basys2_100_250General.ucf(108)]' could not
   be found and so the Locate constraint will be removed.

ERROR:ConstraintSystem:59 - Constraint <DRIVE = 2  |>
   [Basys2_100_250General.ucf(108)]: NET "PIO<86>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

ERROR:ConstraintSystem:59 - Constraint <PULLUP ;>
   [Basys2_100_250General.ucf(108)]: NET "PIO<86>" not found.  Please verify
   that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

Done...

Checking expanded design ...

Partition Implementation Status
-------------------------------

  No Partitions were found in this design.

-------------------------------

NGDBUILD Design Results Summary:
  Number of errors:   127
  Number of warnings:  71

Total REAL time to NGDBUILD completion:  5 sec
Total CPU time to NGDBUILD completion:   3 sec

One or more errors were found during NGDBUILD.  No NGD file will be written.

Writing NGDBUILD log file "Deneme.bld"...

Process "Translate" failed

Sorun nedir anlayamıyorum.

muuzoo

Kullanmadığınız pinleri devre dışı bırakın ucf dosyasında.
gunluk.muuzoo.gen.tr - Kişisel karalamalarım...

Mucit23

Abi denedim şimdi. Dediğin gibi yaptım fakat şimdi de lisans ile ilgili bir hata verdi.

Konsol çıktısı aşağıdaki gibi.
Started : "Map".
Running map...
Command Line: map -intstyle ise -p xc3s100e-cp132-4 -cm area -ir off -pr off -c 100 -o Deneme_map.ncd Deneme.ngd Deneme.pcf
Using target part "3s100ecp132-4".
vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv
INFO:Security:54 - 'xc3s100e' is a WebPack part.
INFO:Security:61 - The XILINXD_LICENSE_FILE environment variable is not set.
INFO:Security:63 - The LM_LICENSE_FILE environment variable is not set.
INFO:Security:68 - For more information or for assistance in obtaining 
 a license, please run the Xilinx License Configuration Manager
       (xlcm or "Manage Xilinx Licenses".)
INFO:Security:68a - user is ferha, on host DESKTOP-JKA0S76.
ERROR:Security:9c - No 'ISE' nor 'WebPack' feature version 2013.10 was available
for part 'xc3s100e'.
----------------------------------------------------------------------
No such feature exists.
Feature:       WebPack
License path: 
C:/.Xilinx\Xilinx.lic;C:\Xilinx\14.7\ISE_DS\ISE\/coregen/core_licenses\Xilinx.li
c;C:\Xilinx\14.7\ISE_DS\ISE\/coregen/core_licenses\XilinxFree.lic;C:\Xilinx\14.7
\ISE_DS\EDK/data/core_licenses\Xilinx.lic;
FLEXnet Licensing error:-5,357
For further information, refer to the FLEXnet Licensing documentation,
available at "www.flexerasoftware.com".No such feature exists.
Feature:       ISE
License path: 
C:/.Xilinx\Xilinx.lic;C:\Xilinx\14.7\ISE_DS\ISE\/coregen/core_licenses\Xilinx.li
c;C:\Xilinx\14.7\ISE_DS\ISE\/coregen/core_licenses\XilinxFree.lic;C:\Xilinx\14.7
\ISE_DS\EDK/data/core_licenses\Xilinx.lic;
FLEXnet Licensing error:-5,357
For further information, refer to the FLEXnet Licensing documentation,
available at "www.flexerasoftware.com".
^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
ERROR:Map:258 - A problem was encountered attempting to get the license for this
   architecture. 

Design Summary
--------------
Number of errors   :   1
Number of warnings :   0

Process "Map" failed

Basys2 üzerinde xc3s100e-cp132-4 FPGA var. Acaba ISE design suite'nin WebPack versiyonu bu FPGA için yetersiz mi?

MC_Skywalker

#5
Çıktıda söylemiş zaten kullandığınız version bu FPGA'yı deteklemiyor, 2013.10 ve sonrasını kullanınız diyor.

Eski ISE ile uğraşmak yerine neden güncel VIVADO indirirmediniz ki?

ibocakir

Hocam merhaba, emin olmamakla birlikte, Spartan 3E ISE Webpack programında desteklenmiyor.

ISE Webpack şu birimleri destekliyor :
Alıntı YapISE® design suite supports the Spartan®-6, Virtex®-6, and CoolRunner™ devices
https://www.xilinx.com/products/design-tools/ise-design-suite.html

Vivado ise şu birimleri destekliyor :
Alıntı YapThe Vivado tool has been created for the 7 Series devices (Virtex-7, Kintex-7, Artix-7, and Zynq-7000)
https://www.xilinx.com/support/answers/53109.html#:~:text=The%20devices%20that%20are%20supported,the%20complexity%20of%20the%20design.

Spartan 3E için ise Project Navigator gerekliymiş.
Alıntı Yapand downloading the program to the Spartan-3E board using the Project Navigator software
https://eprints.qut.edu.au/39963/

Yalnız Xilinx sitesinde girince Project Navigator download kısmı yok sanki. Xilinx Spartan 6'dan öncesi için desteğini kesmiş olabilir. İmkan varsa 7-Serisi bir fpga almanız daha iyi olacaktır. Böylelikle ISE ile de uğramamış olursunuz, direk Vivado.

Mucit23

En son 4 sene önce FPGA ile okulda uğraştım. Onun dışında elimi vurmadım. Ozamanlardan aklımda ise design suite kalmış.

Sanırım "Vivado Design Suite - HLx Editions" sürümünü indirmem gerekiyor.
https://www.xilinx.com/support/download/index.html/content/xilinx/en/downloadNav/vivado-design-tools/2020-1.html

muuzoo

Vivado kullandığınız FPGA ailesini desteklemiyor. SPartan için mecburen ISE kullanmanız lazım. Ortalarda ilacı vardır hocam lisans dosyası bulunur.
gunluk.muuzoo.gen.tr - Kişisel karalamalarım...

MC_Skywalker

#9
ucf dosyasını Digilent'in sitesinde yeniden indrmenizde fayda var. https://reference.digilentinc.com/_media/reference/programmable-logic/basys-2/basys2_100_250general.zip

ayrıca bit dosyasını BASYS2 kitine yazmak için Adept yazılımını indirin https://reference.digilentinc.com/reference/software/adept/start

Şuan kurulı ISE'yi kaldırin ve Xilinx'in sitesinden 14.7'i yeniden indirip kurun(windows 10 kullanıyorsanız 14.7 windows 10 olanı seçin) https://www.xilinx.com/downloadNav/vivado-design-tools/archive-ise.html

Alıntı YapISE supports the following devices families and their previous generations:  Spartan-6, Virtex-6,  and Coolrunner.

lisans için HDD de .xilinx diye bir klasör olmalı lic dosyası orada tutuyor (yanlış hatırlamıyorsam) onu silip Xilinx teki hesap kısmından yeniden lic dosyası indirip lisanslayın belki sorun düzelir.

Mucit23

Şuan hallettim :) Ise design suiteyi kaldırıp yeniden kurmam gerekti. Default ayarlarda yükledim. İlk mesajdaki led=sw yazılımını yükleyip çalıştırdım. Şimdi Clock olaylarına bakmam lazım. BASYS2 kartında B8 girişi MCLK olarak tanımlanmış. Bu girişte default olarak 50Mhz'mi sinyal var? Ben şimdi bu girişteki frekansı bölmek istiyorum. Clock tetiklemeli işlemlere başlayacağım.

MC_Skywalker


Mucit23

Frekans bölmeyi bu şekilde yaptım.
module Deneme(clk_in,clk_out);
	input clk_in;
	output reg clk_out;
	
	reg [32:0] counter = 0; 
	
	always @ (posedge clk_in)
	begin
		if (counter==32'd50000000)
		begin
		  counter = 32'd0;
		  clk_out = ~clk_out;
		end
		else counter = counter + 1;
	end

Baya hatalarla boğuştum ama örneklere baka baka biraz da deneme yanılma çalıştırdım. 1Hz çıkış aldım bu şekilde

Çok basit sorular soracağım. İnternette bilgi karmaşasına boğuldum açıkçası.

Şimdi ben aynı dosya içerisinde başka bir modül eklemek istiyorum. Bu modülde çok basit olarak LED=BUTON işlemi yapmaya çalıştım.
module btn_led(btn,led);
	input btn;
	output led;
   
	assign led=btn;
endmodule

ucf dosyası içerisinde buton ve led'i tanımlıyorum. Projeyi derlerken implement aşamasında aşağıdaki hataları veriyor.
ERROR:ConstraintSystem:59 - Constraint <NET "btn" LOC = "G12";>
   [Basys2_100_250General.ucf(9)]: NET "btn" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "led" LOC = "N3";>
   [Basys2_100_250General.ucf(10)]: NET "led" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.

Kodun tamamı bu şekilde
module Deneme(clk_in,clk_out);
	input clk_in;
	output reg clk_out;
	
	reg [32:0] counter = 0; 
	
	always @ (posedge clk_in)
	begin
		if (counter==32'd50000000)
		begin
		  counter = 32'd0;
		  clk_out = ~clk_out;
		end
		else counter = counter + 1;
	end

endmodule

module btn_led(btn,led);
	input btn;
	output led;
   
	assign led=btn;
endmodule

Bu hatanın sebebi nedir?

Birde Sayı=0 ile Sayı <= 0 arasındaki fark nedir?

MC_Skywalker

Eşittir kullandığında değişkene atama yaparsın,
Küçük Eşittir ile tanımladığın porta değeri gönderirsin.

Yanış hatırlamıyorsam. Verilog hiç kullanmadım.

Mucit23

Teşekkürler o ikisinin farkını anladım.

İlk sorum hakkında yorum yapabilirmisiniz? Tek bir verilog dosyası içerisinde yazım hatası olmamasına rağmen iki ayrı modülde hata veriyor. Temel konuları öğrenmeye çalışıyorum.

module clock_divider(clk_in,clk_out);
	input clk_in;
	output reg clk_out;
	
	reg [32:0] counter = 0; 
	
	always @ (posedge clk_in)
	begin
		if (counter==32'd50000000)
		begin
		  counter = 32'd0;
		  clk_out = ~clk_out;
		end
		else counter = counter + 1;
	end

endmodule

module ledout(led,switch);
	input switch;
	output led;
	
	assign led=switch;
endmodule